News

[News] China Makes Progress in Chip Tool Self-Sufficiency, Yet Lithography Remains a Key Bottleneck


2024-08-12 Semiconductors editor

According to a report from the South China Morning Post, the U.S. export controls, which are restricting China’s access to advanced chips and technology, have intensified China’s efforts to replace global semiconductor manufacturing equipment. However, industry sources have indicated that China still faces significant bottlenecks in this area.

The report mentions that Chinese semiconductor equipment companies like NAURA and AMEC are leading efforts to encourage local foundries to adopt domestic equipment.

Notably, sources cited in the same report also reveal that there is an unwritten rule among Chinese semiconductor fabs that locally-made tools should account for 70% of their production lines.

Per a report by TrendForce, Chinese manufacturers have achieved a self-sufficiency rate of 15% or higher in materials for mature processes, such as silicon wafers, photomasks, photoresists, electronic gases, and wet chemicals. However, items with a self-sufficiency rate still below 15% include photolithography equipment, photomasks, and EDA.

AMEC’s chairman and CEO, Gerald Yin Zhiyao, stated that China is expected to achieve a basic level of self-sufficiency in chip production equipment by this summer, something that was unimaginable just a few years ago.

He acknowledged that while there are still gaps in quality and reliability, China’s semiconductor supply chain can indeed achieve self-sufficiency. This, he suggested, is further evidence that U.S. export controls may have accelerated the development of China’s chip industry.

However, the report also pointed out that China remains constrained in one critical area: lithography technology, which is subject to the most stringent export controls.

Dutch company ASML is the sole supplier of Extreme Ultraviolet (EUV) systems, essential for producing advanced chips, and is also the main supplier of Deep Ultraviolet (DUV) systems needed for mature process chips.

President of foundry China Resources Microelectronics, Li Hong, stated that in 2023, only 1.2% of the lithography systems used by Chinese foundries was purchased from local suppliers.

In the second quarter of this year, ASML’s shipments to Chinese customers totaled EUR 2.35 billion, accounting for nearly half of its global sales. This indicates that China continues to rely heavily on ASML’s equipment in the legacy nodes, which is not subject to U.S. sanctions.

Paul Triolo, senior vice-president for China and technology policy lead at the U.S. consulting firm Albright Stonebridge Group, noted that the significant purchases of DUV lithography systems from ASML by Chinese companies highlight that SMEE, a major Chinese lithography equipment manufacturer, still lags behind ASML in reliably producing lithography systems for 28nm and below processes.

However, lithography technology is not the only bottleneck China faces. Li Hong also noted that the local supply ratios for ion implantation and inspection and metrology systems is only 1.4% and 2.4%, respectively.

As per Chinese customs data, the value of ion implantation systems imported by China in 2023 increased by 20% year-on-year to USD 1.3 billion.

A research report by Guohai Securities indicates as well that Chinese fabs rely heavily on metrology systems from companies like KLA, Applied Materials, and Japan’s Hitachi.

KLA reportedly holds a 50% global market share in inspection and metrology equipment.

An industry source cited in the report mentioned that the local supply ratio in the inspection and metrology sector is relatively low, with local substitution primarily occurring in lower-end products.

Read more

(Photo credit: ASML)

Please note that this article cites information from South China Morning Post.

Get in touch with us