News

[News] ASML Claims the World Counts on China for Legacy Chips; So Do Chip Equipment Giants


2024-08-21 Semiconductors editor

As semiconductor giants, including Intel, TSMC and Samsung, have been competing fiercely in the Angstrom era for advanced nodes, the progress on their adoption of ASML’s High-NA EUV (high-numerical aperture extreme ultraviolet) equipment has been brought back into spotlight lately. However, the semiconductor market, which seems to get more polarized in the meantime, may rely more on China than most people have imagined.

ASML: China is Ten Years behind the U.S. regarding Cutting-edge Chips

In May, without the assistance for extreme ultraviolet (EUV) lithography machines manufactured by ASML, China’s largest foundry, SMIC, is rumored to produce 5nm chips for Huawei this year. However, ASML’s CEO has denied the possibility that China would be able to replicate EUV technology.

According to an interview by Germany media Handelsblatt in July, ASML CEO Christophe Fouquet stated that the EUV technology, which the Dutch semiconductor heavyweight boasts of, is highly complex. Wccftech, citing Fouquet’s remarks in the interview, noted that it would be extremely difficult for China to replicate because the country lacks the know-how.

Citing Fouquet, the reports noted that regarding the development of cutting-edge chips, China is about ten years behind the U.S.

The World in Dire Need of the Legacy Chips Produced by China

However, Fouquet argued that chip buyers, including those in the German automotive industry, are in need of older generation computer chips, an area in which Chinese chipmakers are currently increasing their investments.

His remarks highlight the importance of China’s semiconductor production for global markets, which may still thrive under U.S. export restrictions.

According to the reports, Fouquet stated that though global demand for the legacy chips have been soaring dramatically, Europe cannot even meet half of its own needs. As manufacturing these chips is not very profitable, Western firms are not investing enough in the sector, Fouquet said.

Therefore, neither did he agree with the extensive, tightening chip controls recently. Fouquet noted that it doesn’t make sense to prevent someone from producing something you need.

Peter Wennink, former CEO of ASML, stated in July that the chip war between China and the US lacks factual basis and is entirely driven by ideology. Wennink also anticipated that this chip war will not be resolved anytime soon and could potentially persist for decades.

China Contributes up to 50% Revenue of the World’s Top 5 Chip Equipment Makers

Take a look at the latest financial results of the world’s top semiconductor equipment manufacturers, and we may find where the strong momentum of China has led to.

ASML, as the world’s exclusive EUV provider, reported second-quarter earnings and sales (USD 6.8 billion) that beat forecasts, as AI chips drives up demand for the Dutch firm’s critical semiconductor making equipment.

More importantly, regarding ASML’s sales in lithography units in the second quarter of 2024, China emerged as the largest market, as it contributed 49% of the revenue, higher than South Korea’s 28% and Taiwan’s 11%.

It is worth noting that a year ago, in the second quarter of 2023, China only accounted for 24% of ASML’s sales in lithography units, while Taiwan and South Korea contributed 34% and 27%, respectively. The results, in a way, have reflected China’s ambition and importance in chip making, as Fouquet noted.

Despite export controls, China has also become the largest market by region for U.S. semiconductor equipment giant, Applied Materials. In the second quarter, China accounted for 43% of its total sales of Applied Materials, a 22 percentage point increase YoY, while Taiwan and South Korea contributed 15% and 15%, respectively.

The dominance of the Chinese market is also evident with other major semiconductor equipment makers. China contributed 39% of Lam Research’s revenue in the June quarter, 2024, much higher than 26% a year ago.

Tokyo Electron, on the other hand, attributed as high as 49.9% revenue to China for the first quarter of its 2025 fiscal year, compared with 39.3% a year ago. China accounted for 44% of KLA’s revenue in the June quarter, 2024.

As DUV systems, which have not been extensively regulated, can still be applied to nodes down to 7nm, or even 5nm, Chinese chipmakers, with the support of government, may continue to hold a significant position in the global semiconductor ecosystem.

Read more

Please note that this article cites information from Handelsblatt and Wccftech.

Get in touch with us