IC Manufacturing, Package&Test


2024-06-14

[News] Samsung Unveils Next-gen 2nm Node Roadmap; SF2Z with Backside Power Delivery to Enter Production in 2027

After naming its new head for the semiconductor business in May, claiming to strengthen the company’s competitiveness in cutting-edge chips, Samsung has now disclosed its latest developments regarding AI chips. According to information from Reuters and Samsung’s press release, the company plans to provide one-stop solution for clients to expedite their production of AI chips, while its updated 2nm node with backside power delivery is expected to enter the market in 2027.

According to a report from Reuters, the semiconductor giant plans to provide a turn-key solution by integrating its leading services in memory chips, foundry, and chip packaging to capitalize on the AI surge. The production time needed for AI chips usually takes weeks, while under this scheme, it could potentially be reduced by approximately 20%.

Driven by the strong demand from AI chips, Samsung expects the revenue of global chip industry to grow to USD 778 billion by 2028, according to Siyoung Choi, President and General Manager of the Foundry Business in Samsung, the report noted.

On the other hand, the tech heavyweight has introduced on 13th June its latest developments on 2nm and GAA technologies, as tools to empower its AI solutions.

According to Samsung’s press release, its latest 2nm process, SF2Z, has incorporated optimized backside power delivery network (BSPDN) technology, which places power rails on the backside of the wafer to eliminate bottlenecks between the power and signal lines, and thus does better in PPA (power, performance and area), IR drop and performance of HPC designs compared to SF2, its first-generation 2nm node.

Samsung targets mass production for SF2Z in 2027, while SF4U, a high-value 4nm variant, is slated for mass production in 2025. It also confirms that preparations for SF1.4 (1.4nm) are progressing well, with performance and yield targets on track for mass production in 2027, the press release noted.

Regarding the progress on backside power delivery solution, TSMC’s Super PowerRail, which is expected to be used in A16 process, targets mass production in 2025. Intel’s PowerVia on its 20A process, on the other hand, is set for production in 2024.

Read more

(Photo credit: Samsung)

Please note that this article cites information from Reuters.
2024-06-12

[News] US Reportedly Mulls to Further Limit China’s Access to GAA Chip Technology and HBM

Starting from October, 2022, the U.S. has launched a series of export controls, targeting to limit China’s access to advanced semiconductor technologies, while tech giants including Intel, Qualcomm and NVIDIA are not allowed to ship some of their most cutting-edge chips to China. Now a new development seems to emerge, as the White House is said to consider additional restrictions on China’s access to gate-all-around (GAA) transistor technology as well as high-bandwidth memory (HBM), according to reports from Bloomberg and Tom’s hardware.

For now, the Big Three in the semiconductor industry have all announced their roadmaps regarding GAA. TSMC plans to adopt GAAFET (gate-all-around field-effect transistor) in its A16 process (2 nm), targeting for mass production in 2026. Intel aims to implement GAA in its upcoming 20A node, which may enter mass production by 2024. Samsung, on the other hand, is the only company to adopt GAA as early as in its 3nm node.

GAA transistors are crucial for pushing Moore’s Law further. By replacing the vertical fin used in FinFET transistors with a stack of horizontal sheets, the structure could further reduce leakage while increase drive current, which enables better chip performance.

Citing sources familiar with the matter, Bloomberg noted that in March, UK has imposed controls on GAAFET structures, which are typically used for chips manufactured with advanced nodes, and now the U.S. and other allies are expected to follow. The related restrictions are reportedly expected to be implemented as soon as this summer, according to the report, though further details have yet to be confirmed.

Also, it remains unclear whether the ban would restrict China’s ability to develop its own GAA chips or prevent U.S. and other international chipmakers from selling their products to Chinese firms, the report noted.

In addition to GAA, the Bloomberg report also mentioned that there have been preliminary discussions about restricting exports of high-bandwidth memory (HBM) chips. HBM chips, produced by memory giants like SK Hynix, Samsung and Micron, could enhance the performance of AI applications and are utilized by companies such as NVIDIA.

Recently, Huawei successfully mass-produced 7nm chips without using lithography technology. This development has surprised the global semiconductor market and has led to speculation that Huawei may soon also mass-produce 5nm chips. However, Zhang Ping’an, the Chief Executive Officer of Huawei Cloud Services, expressed concern earlier that China, due to US sanctions, is unable to purchase 3.5nm chip equipment.

Read more

(Photo credit: Intel)

Please note that this article cites information from Bloomberg and Tom’s Hardware.

 

2024-06-06

[News] Chinese AI Chip Companies Reportedly Seek TSMC Production by Downgrading Chip Designs

Amid tightening U.S. chip export controls to China, Shanghai-based AI chip companies, MetaX and Enflame, have rumored to downgrade chip designs to TSMC in late 2023 in order to comply with the U.S. export requirements, according to a report by Reuters on 5 June.

Regarding this rumor, TSMC declined to comment, Reuters stated.

In recent years, the U.S. has continuously introduced measures to limit China’s access to high-end chips, chip manufacturing equipment, and advanced processors.

MetaX and Enflame, which formerly claimed that their chips can rival NVIDIA’s GPUs, are recognized as “Little Giants,” a title given to startups with potential for development in key areas and valued by the Chinese government.

MetaX was founded in 2020 by former senior executives from AMD and has multiple R&D and wafer fab projects in China. Citing sources familiar with the matter, Reuters disclosed that the company reportedly developed a downgraded product, C280, in order to be manufactured by TSMC, while its inventory of its most advanced GPU, C500, was sold out earlier.

Enflame, established in 2018, counts Chinese tech giant Tencent among its backers and raised $2.7 billion last year. The company sells its products to state-owned enterprises and collaborates on projects with various local governments, Reuters reported.

In October last year, the U.S. Commerce Department’s Bureau of Industry and Security (BIS) announced a new package of export control measures, and giving specific details on the chip specifications under restriction. For instance, any chip with a total processing performance of 4,800 or higher, or a performance density of 5.92 or more, is prohibited from being shipped to China.

For context, GPU giant NVIDIA’s A100 and the even more potent H100, were banned from export to China in late 2022. The less powerful A800 and H800 chips, tailored by NVIDIA for the Chinese market, were also subject to bans last October. According to a report by Asia Times, A800’s performance is approximately 70% of the A100’s.

(Photo credit: TSMC)

Please note that this article cites information from Reuters and Asia Times.

 

2024-06-06

[News] A Quick Overview at TSMC’s Latest Collaboration with Intel, NVIDIA and AMD at COMPUTEX 2024

If you happen to be a technology enthusiast, June would certainly be a month to watch. NVIDIA CEO Jensen Huang, joined by AMD CEO Lisa Su, visited Taiwan to announce their product roadmaps in COMPUTEX 2024. NVIDIA unveiled its new generation Rubin architecture, indicating that the R series products are expected to go into mass production in the fourth quarter of 2025.

On the other hand, AMD introduced its Ryzen AI 300 Series processors with the world’s most powerful Neural Processing Unit (NPU) for next-gen AI PCs, featuring a new Zen 5 CPU, as well as its latest AI chips, MI325X and MI350.

Interestingly enough, on 4 June, the world’s largest semiconductor foundry, TSMC, held its shareholders’ meeting in Hsinchu, Taiwan. When asked about the company’s relationships with NVIDIA and AMD, President C.C. Wei has reaffirmed TSMC’s strong relationships with the two tech giants, saying that the company will prosper with its clients.

What will be the highlights for TSMC’s progress in advanced logic process, and what are some of the most advanced products introduced in COMPUTEX made with TSMC’s advanced nodes? Please proceed to find out more. For now, TSMC’s 3nm seems to be the most popular node.

N3 Family

TSMC’s N3E (the more cost-effective second generation of the 3nm process) entered mass production in the fourth quarter of 2023. On the other hand, N3P (a more advanced version) is scheduled to enter mass production in the second half of 2024. Its yield performance is close to that of N3E, while customer product designs have already been tape-out.

TSMC states that due to N3P’s superior performance, better power consumption and area (PPA) characteristics, most 3nm products will eventually adopt the node. In the future, the industry may expect to see more high-end products manufactured with 3nm.

Regarding capacity, driven by the strong demand from HPC and mobile phone, TSMC has tripled its 3nm capacity in 2024 compared to that of 2023. However, as it is still not enough, the world’s largest semiconductor foundry has been striving to meet customer demand.

Intel’s Lunar Lake/ Arrow Lake

At COMPUTEX 2024, Intel CEO Pat Gelsinger introduced Lunar Lake, its latest AI PC chip, and thank its friend “TSMC” for their full support.

Starting Q3 2024 in time for the holiday season, Lunar Lake will power more than 80 new laptop designs across more than 20 original equipment manufacturers.

In a previous report by Wccftech, Gelsinger stated that Intel has collaborated with TSMC to power up its next-gen CPUs, adopting N3B, the first-generation 3nm process, for Lunar Lake and Arrow Lake.

NVIDIA’s Rubin

On the other hand, NVIDIA’s Rubin GPU architecture is now official: the Rubin GPU will feature 8 HBM4, while the Rubin Ultra GPU will come with 12 HBM4 chips, noted by Jensen Huang, CEO of NVIDIA.

Per a report from Wccftech, NVIDIA’s Rubin GPU is expected to utilize TSMC’s CoWoS-L packaging technology, along with its N3 process. Moreover, NVIDIA will use next-generation HBM4 DRAM to power its Rubin GPU.

Regarding NVIDIA’s previous GPUs, according to Commercial Times’ report, H200 and B100 reportedly are said to adopt TSMC’s 4-nanometer and 3-nanometer processes, respectively.

AMD’s MI 325X/ MI350

On 3 June, AMD CEO Lisa Su stated that the company’s relationship with TSMC is “very strong,” even as rumors have been circulating about a potential partnership with Samsung, TSMC’s main competitor.

AMD unveiled the company’s latest AI chip, MI325X, at the opening of COMPUTEX Taipei. Su emphasized that the MI325X boasts 30% faster computing speed compared to NVIDIA’s H200.

Furthermore, she also announced that AMD will release MI350 in 2025, which will be manufactured with TSMC’s 3nm process, while MI400 is expected to follow, launched in 2026.

When asked if AMD intended to procure chips manufactured using Samsung’s 3-nanometer (3nm) gate-all-around (GAA) process, Su reiterated AMD’s commitment to utilizing “the most advanced technology,” saying that AMD is certainly going to use 3 nm, 2 nm, and beyond. She also confirmed that there are several 3nm products currently being developed in collaboration with TSMC.

In addition to TSMC’s collaboration with clients on 3nm, this article also curates TSMC’s progresses on its 2nm node and other advanced processes. More information below:

N2 Family

The N2 process utilizes nanosheet transistors, thus would be able to offer superior energy efficiency. Currently, TSMC’s 2nm technology is progressing smoothly, with nanosheet conversion performance reaching the target of 90%, indicating that the yield exceeds 80%. Mass production is expected in 2025.

In the future, TSMC states that more members of the N2 family will emerge, including applications like N2P and N2X.

Read more

(Photo credit: TSMC)

Please note that this article cites information from Wccftech and Commercial Times.
2024-06-06

[News] Qualcomm CEO Reportedly Considers Collaboration with Samsung to Diversify Smartphone Chip Foundry Sources

Qualcomm President & CEO Cristiano Amon, at COMPUTEX 2024, showcased devices powered by Snapdragon X Elite and Snapdragon X Plus processors, claiming them to be the only PCs capable of delivering Copilot+ PC experiences. Afterwards, during a media briefing, he disclosed Qualcomm’s plans on a dual-sourcing production strategy, indicating that the cooperation with Samsung has been considered, Korean media outlet Business Korea reported.

According to a previous report by Wccftech, Qualcomm’s Snapdragon 8 Gen 4, targeting to be launched in October, is rumored to utilize TSMC’s N3E node. However, the possibility of diversifying the production sources for Qualcomm’s “Snapdragon 8 Gen 5” smartphone chip has recently become a hot topic.

Regarding Qualcomm’s potential dual-sourcing policy, Amon emphasized that the primary focus should be on TSMC’s foundry production. However, he expressed willingness to collaborate with both TSMC and Samsung Electronics, according to Business Korea.

Initially, Samsung’s foundry was tasked with producing the first-generation Snapdragon 8 chip. However, it is rumored that overheating issues prompted Qualcomm to assign the following generations to be manufactured by TSMC.

Nonetheless, according to Business Korea, the recent launch of the Snapdragon X Elite, extensively integrated with Microsoft’s CoPilot+ PC, has sparked greater demand, which has prompted Qualcomm to reassess its collaboration with Samsung.

According to a previous report by Wccftech, it is likely that the Samsung’s 2nm technology will be utilized for the Snapdragon 8 Gen 5 in the Galaxy S26 series.

Read more

(Photo credit: Qualcomm)

Please note that this article cites information from Business Korea and Wccftech.
  • Page 14
  • 50 page(s)
  • 249 result(s)

Get in touch with us