IC Manufacturing, Package&Test


2023-08-10

[News] TSMC Confirms Kaohsiung Plant Will Adopt 2nm Advanced Process

TSMC previously announced in November 2021 that it plans to establish two wafer fabrication plants for the 7nm and 28nm processes in Kaohsiung, a southern city of Taiwan. Construction was set to begin in 2022, with official production expected to commence in 2024. However, following the announcement, there have been changes in the progress of the Kaohsiung plant development.

Firstly, there were reports of adjustments to the 7nm plant by the end of 2022, in response to weakened demand in the smartphone and PC markets. Subsequently, there were also reports of changes to the 28nm plant’s plans.

It wasn’t until TSMC’s Q1 2023 earnings conference that they officially announced the adjustment of the Kaohsiung 28nm plant’s construction plans, focusing on capacity enhancement for more advanced process technologies.

At the time, TSMC didn’t specify the exact advanced process that would be introduced, only emphasizing that the construction of the wafer fab would proceed as planned. This triggered market speculation that TSMC was likely to adopt the advanced 2nm process technology at the Kaohsiung plant, in response to the rapidly growing demand in the artificial intelligence market.

This week, TSMC confirmed that the Kaohsiung plant will adopt the 2nm process technology. TSMC stated that the construction of the wafer fab in Kaohsiung will proceed as usual, but the previous expansion plans will be adjusted to accommodate the production of the 2nm advanced process technology, in response to strong market demand for advanced processes.

As for the specific details and contents of the plant development, they have not been further disclosed at this stage. According to TSMC’s plans, mass production of the 2nm process is expected to begin in 2025, with production bases including the previously announced Hsinchu and Taichung facilities, as well as the newly announced Kaohsiung facility.

(Photo credit: TSMC)

2023-08-09

TrendForce Analysis: TSMC’s Ambitious ESMC Project Faces Global Labor Challenges and Regulatory Complexities

Leading semiconductor companies TSMC, Robert Bosch GmbH, Infineon, and NXP Semiconductors have jointly to invest in the European Semiconductor Manufacturing Company (ESMC) GmbH, situated in Dresden, Germany. This strategic move aims to bolster the region’s semiconductor manufacturing capabilities, particularly catering to the burgeoning automotive and industrial sectors. The establishment of ESMC marks a significant stride towards the realization of a 300mm fabrication facility, pending the final decision on public funding, as part of the European Chips Act framework.

The planned fab is expected to have a monthly production capacity of 40,000 300mm (12-inch) wafers on TSMC’s 28/22 nanometer planar CMOS and 16/12 nanometer FinFET process technology, further strengthening Europe’s semiconductor manufacturing ecosystem with advanced FinFET transistor technology and creating about 2,000 direct high-tech professional jobs. ESMC aims to begin construction of the fab in the second half of 2024 with production targeted to begin by the end of 2027.

The prospective joint venture will see TSMC holding a substantial 70% ownership stake, while Bosch, Infineon, and NXP will each possess a 10% equity share, contingent upon regulatory approvals and meeting specific conditions. Total investments exceeding 10 billion euros are anticipated. Operational oversight of the fabrication facility will reside under TSMC’s purview.

However, industry analysts at TrendForce have highlighted potential challenges that lie ahead for TSMC’s groundbreaking endeavor. One such challenge pertains to the looming labor shortage issue in TSMC’s US fabrication facility, which is projected to reverberate globally. Moreover, navigating the intricacies of implementing subsidy policies in accordance with the European chip legislation and anticipated administrative procedures is expected to introduce a layer of complexity to the venture.

(Photo credit: TSMC)

2023-08-09

AI GPU Bottleneck Explained: Causes and Prospects for Resolution

Charlie Boyle, Vice President of NVIDIA’s DGX Systems, recently addressed the issue of limited GPU production at the company.

Boyle clarified that the current GPU shortage is not a result of NVIDIA misjudging demand or constraints in Taiwan Semiconductor Manufacturing Company’s (TSMC) wafer production. The primary bottleneck for GPUs lies in the packaging process.

It’s worth noting that the NVIDIA A100 and H100 GPUs are currently manufactured by TSMC using their advanced CoWoS (Chip-on-Wafer-on-Substrate) packaging technology. TSMC has indicated that it may take up to a year and a half, including the completion of additional wafer fabs and expansion of existing facilities, to normalize the backlog of packaging orders.

Furthermore, due to the significant strain on TSMC’s CoWoS capacity, there have been reports of overflow of NVIDIA GPU packaging orders to other manufacturers.

Sources familiar with the matter have revealed that NVIDIA is in discussions with potential alternative suppliers, including Samsung, as secondary suppliers for the 2.5D packaging of NVIDIA’s A100 and H100 GPUs. Other potential suppliers include Amkor and the Siliconware Precision Industries Co., Ltd. (SPIL), a subsidiary of ASE Technology Holding.

In December 2022, Samsung established its Advanced Packaging (AVP) division to seize opportunities in high-end packaging and testing. Sources suggest that if NVIDIA approves of Samsung’s 2.5D packaging process yield, a portion of AI GPU packaging orders may be placed with Samsung.

TrendForce’s research in June this year indicated that driven by strong demand for high-end AI chips and High-Bandwidth Memory (HBM), TSMC’s CoWoS monthly capacity could reach 12,000 units by the end of 2023. Particularly, demand from NVIDIA for A100 and H100 GPUs in AI servers has led to nearly a 50% increase in CoWoS capacity compared to the beginning of the year. Coupled with the growth in demand for high-end AI chips from companies like AMD and Google, the second half of the year is expected to witness tighter CoWoS capacity. This robust demand is projected to continue into 2024, with advanced packaging capacity potentially growing by 30-40% if the necessary equipment is in place.

(Photo credit: NVIDIA)

2023-08-08

An In-Depth Explanation of Advanced Packaging Technology: CoWoS

Over the past few decades, semiconductor manufacturing technology has evolved from the 10,000nm process in 1971 to the 3nm process in 2022, driven by the need to increase the number of transistors on chips for enhanced computational performance. However, as applications like artificial intelligence (AI) and AIGC rapidly advance, demand for higher core chip performance at the device level is growing.

While process technology improvements may encounter bottlenecks, the need for computing resources continues to rise. This underscores the importance of advanced packaging techniques to boost the number of transistors on chips.

In recent years, “advanced packaging” has gained significant attention. Think of “packaging” as a protective shell for electronic chips, safeguarding them from adverse environmental effects. Chip packaging involves fixation, enhanced heat dissipation, electrical connections, and signal interconnections with the outside world. The term “advanced packaging” primarily focuses on packaging techniques for chips with process nodes below 7nm.

Amid the AI boom, which has driven demand for AI servers and NVIDIA GPU graphics chips, CoWoS (Chip-on-Wafer-on-Substrate) packaging has faced a supply shortage.

But what exactly is CoWoS?

CoWoS is a 2.5D and 3D packaging technology, composed of “CoW” (Chip-on-Wafer) and “WoS” (Wafer-on-Substrate). CoWoS involves stacking chips and then packaging them onto a substrate, creating a 2.5D or 3D configuration. This approach reduces chip space, while also lowering power consumption and costs. The concept is illustrated in the diagram below, where logic chips and High-Bandwidth Memory (HBM) are interconnected on an interposer through tiny metal wires. “Through-Silicon Vias (TSV)” technology links the assembly to the substrate beneath, ultimately connecting to external circuits via solder balls.

The difference between 2.5D and 3D packaging lies in their stacking methods. 2.5D packaging involves horizontal chip stacking on an interposer or through silicon bridges, mainly for combining logic and high-bandwidth memory chips. 3D packaging vertically stacks chips, primarily targeting high-performance logic chips and System-on-Chip (SoC) designs.

When discussing advanced packaging, it’s worth noting that Taiwan Semiconductor Manufacturing Company (TSMC), rather than traditional packaging and testing facilities, is at the forefront. CoW, being a precise part of CoWoS, is predominantly produced by TSMC. This situation has paved the way for TSMC’s comprehensive service offerings, which maintain high yields in both fabrication and packaging processes. Such a setup ensures an unparalleled approach to serving high-end clients in the future.

 

Applications of CoWoS

The shift towards multiple small chips and memory stacking is becoming an inevitable trend for high-end chips. CoWoS packaging finds application in a wide range of fields, including High-Performance Computing (HPC), AI, data centers, 5G, Internet of Things (IoT), automotive electronics, and more. In various major trends, CoWoS packaging is set to play a vital role.

In the past, chip performance was primarily reliant on semiconductor process improvements. However, with devices approaching physical limits and chip miniaturization becoming increasingly challenging, maintaining small form factors and high chip performance has required improvements not only in advanced processes but also in chip architecture. This has led to a transition from single-layer chips to multi-layer stacking. As a result, advanced packaging has become a key driver in extending Moore’s Law and is leading the charge in the semiconductor industry.

(Photo credit: TSMC)

2023-07-18

In Response to AI Market Development, TSMC Kaohsiung Fab Reportedly to Transition to 2nm Node

According to media reports, in response to the booming demand in the artificial intelligence market, TSMC has altered its Kaohsiung factory plan. Originally scheduled for a 28-nanometer mature process, the factory will now be equipped with a 2-nanometer advanced process, with mass production expected to commence in the latter half of 2025. The official announcement of this factory plan is imminent.

During a investor conference held on July 20th, TSMC refrained from making any comments, citing the current quiet period. As reported by “Central News Agency,” Kaohsiung Mayor Chen Chi-mai expressed the city government’s respect for TSMC and pledged full assistance. However, it is worth noting that the 2-nanometer process requires more funding compared to the 28-nanometer process, and TSMC has already informed the Kaohsiung city government, seeking support in terms of water and power supply.

Official data indicates that TSMC’s 2-nanometer process offers a 10% to 15% performance improvement at the same power consumption or a 20% to 30% reduction in power consumption at the same performance level compared to the 3-nanometer process. The primary production base for the 2-nanometer process will be located in Hsinchu’s Baoshan area, with plans to construct four fabs. The trial production is scheduled for 2024, followed by mass production in the latter half of 2025.

(Photo credit: TSMC)

  • Page 25
  • 30 page(s)
  • 150 result(s)