IC Manufacturing, Package&Test


2023-10-20

[News] Chinese Chip Equipment Rises Amid U.S. Restrictions

Amid increased U.S. restrictions on China’s semiconductor industry, Chinese chip equipment manufacturers are witnessing a notable uptick in domestic orders. Over the first eight months of this year, Chinese chip equipment managed to capture nearly half of all orders. This serves as a compelling sign that the fears expressed by companies such as NVIDIA, AMD, and Intel about losing ground to domestic rivals in the Chinese market are materializing.

On October 17th, the Biden administration tightened chip export rules, barring American companies, including NVIDIA, from selling AI chips to China. At the same time, the U.S. Commerce Department’s Bureau of Industry and Security (BIS) placed 13 Chinese GPU firms on its Entity List, further unsettling global semiconductor and AI supply chains. Ironically, these moves could expedite China’s domestic AI chip industry’s advancement amid the pressure.

Huatai Securities’ analysis reveals that Chinese chip foundries have been winning an increasing number of bids for machinery equipment this year. In the first eight months of this year, they secured 47.25% of these bids, with the percentage soaring to 62% in August. In comparison, during March and April, the rate was only 36.3%. This trend reflects a turning point for China’s chip equipment industry and showcases its rapid transition towards self-sufficiency.

As per Reuters, insiders disclosed that prior to the U.S. export bans, China’s advanced chip foundries rarely utilized domestic equipment, reserving it for expanding production. Yet, in reaction to the ongoing restrictions, they’ve proactively started testing homegrown equipment on all foreign devices and plan to fully replace foreign gear with domestic alternatives. This transition has greatly boosted local firms such as AMEC and NAURA.

Analysts observe that China’s local equipment makers have notably enhanced their production capacity, especially in wet etching and cleaning, positioning them for global competition with U.S. counterparts. What’s more, the quality of Chinese-made equipment has surpassed expectations, often advancing by up to two years. The substantial revenue growth in the sector attests to China’s remarkable progress in the semiconductor equipment industry.

Nonetheless, photolithography equipment remains a field where China’s domestic equipment struggles to break through due to its demanding requirements for optical and process precision. China has faced challenges in procuring extreme ultraviolet (EUV) lithography machines crucial for manufacturing cutting-edge chips. The situation is further complicated by the joint efforts of the United States, the Netherlands, Japan, and other allies to restrict the export of advanced deep ultraviolet (DUV) lithography machines to China.
(Image: AMEC)

2023-10-19

[News] TSMC 2023 Third Quarter Earnings Call Excerpts

TSMC held its third-quarter earnings conference today (October 19th), with TSMC CEO C.C. Wei and CFO Wendell Huang presenting the company’s third-quarter financial results, fourth-quarter outlook, and updates on advanced processes and overseas expansion. TrendForce transcribed the event using AI tools and made slight edits, providing the most comprehensive report on TSMC’s conference in real-time.

2023-10-19

[News] ASML’s Q3 Orders Plummet 40%, 46% Revenue from China – U.S. Ban’s Long-Term Impact

Dutch semiconductor equipment giant ASML has released its Q3 2023 financial report, showing a significant decline in orders for the third quarter, far below expectations. This suggests signs of weakened demand for ASML’s chip manufacturing equipment in the semiconductor industry during a lackluster economic climate. In its financial statement on the 18th, ASML revealed that the total value of orders received in the third quarter from July to September decreased by 42% compared to the previous quarter, amounting to 2.6 billion euros (approximately 2.8 billion USD). In contrast, analysts surveyed by Bloomberg had estimated an average order value of 4.5 billion euros.

ASML is the sole manufacturer of the cutting-edge semiconductor lithography equipment required for semiconductor production. Earlier this year, they experienced significant revenue growth as Chinese semiconductor firms rushed to place substantial orders before the U.S. export control measures came into effect.

During the video interview when announcing the financial results, ASML’s CFO Roger Dassen, stated that the overall economic situation has not improved,” There’s still pockets of inflation. We still see interest rates at pretty elevated levels. We still see GDP growth in some economies that is not where people expected that to be. Then I think there are quite some geopolitical tensions.”

ASML’s Q3: China Sales at 46% with Mature Process Clients

China accounted for 46% of ASML’s Q3 sales, higher than 24% in the second quarter and 8% in the first quarter. Taiwan accounted for 24% of sales, while South Korea accounted for 20%. As ASML’s CFO, Roger Dasse explained, the sales in China were notably high due to shipments serving mid-critical and mature nodes based on earlier purchase orders. Shifts in demand timing from other customers have raised our Chinese customers’ order-fill rate, resulting in increased sales in China. All shipments complied with export regulations.

In terms of equipment type sales in the third quarter, ASML sold a total of 105 new lithography machines, including 7 second-hand machines, categorized by product type as follows: 11 EUV machines, 32 ArFi (immersion DUV lithography machines), 9 ArF dry (dry DUV lithography machines), 44 KrF machines, and 16 I-Line machines.

Regarding terminal applications, lithography machines for manufacturing logic chips represented 76% of sales, while those for manufacturing memory chips accounted for 24% of sales. In terms of revenue, ArFi immersion lithography machines accounted for a substantial 48%, with EUV lithography machines at 35%.

“Our Chinese customers say: We are happy to take the machines that others don’t want,” Peter Wennink, ASML’s CEO said. “Because their fabs are ready. They can take the tools.”.

U.S. Export Rules Impact on ASML’s 1980Di Tool and Sales

ASML is targeted by U.S. efforts to curb the export of advanced technology to China. Earlier this year, the Biden administration convinced the Dutch government not to allow ASML to ship some immersion DUV equipment to China without a permit. These Dutch restrictions are scheduled to take effect on January 1st of the following year. Currently, ASML has already been prohibited from selling its most advanced EUV machines to China.

During the press conference after the financial report, Peter Wennink mentioned that despite the expanded export control lists implemented by the U.S. and Dutch governments, he expects strong demand from Chinese semiconductor manufacturers. Additionally, another ASML product not covered by the Dutch export permit rules for this year, the 1980Di deep ultraviolet exposure machine (DUV), has now been restricted according to the new export regulations announced by the U.S. on the 17th of the month.

1980Di is used to assist in the production of relatively advanced computer chips, as well as mid-range and older chips. Wennink stated, “In principle, the 1980 series will be subject to export control regulations, but only when… (they are) used in advanced semiconductor manufacturing.” He also mentioned that only a few Chinese semiconductor factories are considered “advanced.”

ASML anticipates steady operations in 2024

According to a report by Money DJ, ASML also announced its financial forecast for the fourth quarter of 2023, estimating net sales of approximately 6.7 billion to 7.1 billion euros, with a gross margin ranging from 50% to 51%. Research and development costs are estimated at around 1.03 billion euros, while selling and administrative expenses (SG&A) are estimated at 285 million euros. ASML confirms that, as previously anticipated, 2023 has seen robust growth, with a projected increase in net sales approaching 30% and a slight improvement in gross margin, compared to 2022.

ASML stated that the semiconductor industry is currently experiencing a cyclical downturn, with customers anticipating a turnaround in demand by the end of the year. Since customers remain uncertain about the strength and pace of the industry demand recovery, 2024 is expected to be a transitional year. The company is adopting a more conservative estimate, with 2024 revenue expected to be similar to 2023. Preparations are being made for significant growth in 2025.

Explore more:

(Image: ASML)

2023-10-19

[News] China’s Related Companies Brace by Stockpiling Due to New U.S. Chip Ban

The United States has elevated its efforts to curtail the advancement of high-end chips in China. As reported by the CLS News, various companies within China have indicated they received advance notifications and have already amassed chip stockpiles. Analysts suggest that this new wave of bans implies a further restriction by the U.S. on China’s computational capabilities, making the development of domestically-manufactured GPUs in China a matter of utmost importance.

According to the latest regulations, chips, including Nvidia’s A800 and H800, will be impacted by the export ban to China. An insider from a Chinese server company revealed they received the ban notice at the beginning of October and have already stockpiled a sufficient quantity. Nevertheless, they anticipate substantial pressure in the near future. The procurement manager for a downstream customer of Inspur noted that they had proactively shared this information and urged potential buyers to act promptly if they require related products.

Larger companies like Tencent and Baidu are less affected by the ban due to their ample stockpiles. On October 17th, HiRain Technologies announced that its subsidiary had purchased 75 units of H800 and 22 units of A800 from supplier A and had resolved this issue two weeks ago.

(Image: NVIDIA)

2023-10-18

[News] Huawei May Emerge as a Winner as the U.S. Tightens Export Restrictions

The U.S. government tightened its control over exports of advanced computing and semiconductor manufacturing products to China on October 17th. The Entity List now includes more Chinese companies, including two prominent Chinese GPU manufacturers, Biren Technology and Moore Threads. These new restrictions further limit the export of Nvidia A800 and H800 chips.

The new regulations also block chips transferring to China through third-party countries, broadening the export restrictions to include Chinese overseas subsidiaries companies and additional 21 countries.

As reported from TechNews, Nomura Securities are unsurprising to Biren Technology and Moore Threads’ addition to the Entity List. These two chip makers primarily rely on TSMC as their main partner. Due to their limited production capacity, the influence on TSMC is anticipated to be minimal.

Since the U.S. initiated export controls on AI chips in October last year, operations of Biren Technology have continuously been affected. This new development is anticipated to have a relatively limited impact on the Chinese IC design market.

However, the import of ASML’s 1980i DUV model into China may be restricted without U.S. approval. Nomura Securities believe that this could negatively affect the Chinese semiconductor market, potentially causing delays in the expansion plans for 28nm production capacity for some Chinese semiconductor companies. These companies will also likely continue to postpone the purchase of domestic equipment.

Since the import of Nvidia A800, H800, and L40S into China might not be allowed, Nomura Securities consider this unfavorable news for the market. Nvidia may soon introduce new versions to comply with the new regulations. In this scenario, Huawei, with its capacity to design and manufacture advanced chips within China, may ultimately emerge as the most significant beneficiary in the Chinese market, as most Chinese GPU companies are already under sanctions.

The updated U.S. export restrictions also imply that more foreign manufacturers of AI chips will need to adjust their product specifications to meet the new requirements.

Explore more 

(Image: Flickr)

  • Page 34
  • 52 page(s)
  • 259 result(s)

Get in touch with us