IC Manufacturing, Package&Test


2023-06-07

TSMC Lowers Full-Year Semiconductor Growth Forecast, But Advanced Packaging Demand Outstrips Supply

Semiconductor manufacturing leader TSMC held its annual shareholder meeting on June 6, addressing issues including advanced process development, revenue, and capital expenditure. TSMC’s Chairman Mark Liu and President C.C. Wei answered a series of questions. The key points from the industry are summarized as follows:

2023 Capital Expenditure Leaning towards $32 Billion

For TSMC’s Q2 and full-year outlook for this year, the consolidated revenue forecast is between $15.2 and $16 billion, a decrease of 5%-10% from the first quarter. Gross profit margin is expected to range between 52%-54%, and operating profit margin between 39.5%-41.5%. Chairman Mark Liu revealed that this year’s capital expenditure is expected to lean more towards $32 billion.

TSMC’s President C.C. Wei lowered the 2023 growth forecast for the overall semiconductor market (excluding memory), expecting a mid-single digit percentage decrease. The revenue in the wafer manufacturing industry is expected to decrease by a high single digit percentage. At this stage, the overall revenue for 2023 is expected to decrease by a low-to-mid single digit percentage, sliding approximately 1%-6%.

Advanced Process N4P to be Mass Produced this Year

TSMC’s total R&D expenditure for 2022 reached $5.47 billion, which expanded its technical lead and differentiation. The 5-nanometer technology family entered its third year of mass production, contributing 26% to the revenue. The N4 process began mass production in 2022, with plans to introduce the N4P and N4X processes. The N4P process technology R&D is progressing smoothly and is expected to be mass-produced this year. The company’s first high-performance computing (HPC) technology, N4X, will finalize product design for customers this year.

Advanced Packaging Demand Far Exceeds Capacity

Due to the generative AI trend initiated by ChatGPT, the demand for advanced packaging orders for TSMC has increased, forcing an increase in advanced packaging capacity. TSMC also pointed out that the demand for TSMC’s advanced packaging capacity far exceeds the existing capacity, and it is forced to increase production as quickly as possible. Chairman Mark Liu stated that the current investment in R&D focuses on two legs, namely 3D IC (chip stacking) and advanced packaging.

At present, three-quarters of TSMC’s R&D expenditure is used for advanced processes, and one quarter for mature and special processes, with advanced packaging falling under mature and special processes.

(Photo credit: TSMC)

2023-06-06

Disruption in 2.5D/3D Packaging: Hybrid Bonding Rising as New Cornerstone

The surge in AIGC and new technologies such IoT, AI, 5G, AR/VR are driving a huge demand for computational power of high-end chips. This has been even outpacing the performance increase offered by the long-standing Moore’s Law, ushering in a “post-Moore” era where revolutions in advanced chip design are crucial.

Over recent years, chiplet design has seemingly become the mainstream approach for upgrading high-end chips. The concept is to allow more transistors on a single chip, effectively increasing the production yield of high-end chips while reducing overall costs.

By the large, major IC players have all jumped on board. Even Apple has joined the game by releasing their M1 Ultra SoC using the chiplet concept, which doubles computational performance by integrating two M1 Max units in a single chip.

The CPU sector is definitely a clear demonstration of this trend:

  • AMD took the leap with chiplet design in their 2nd-gen EPYC CPUs, doubling the computing cores from 32 to 64 within two years, while slashing costs by up to half. The company has extended this approach to their 4th-gen EPYC CPUs and even pioneered the GPU Navi 31, the first of its kind to use chiplets.
  • Intel started incorporating chiplets into their Lakefield series SoC in 2020. Looking ahead, their upcoming CPUs like the Meteor Lake set for 2023, and Arrow Lake and Lunar Lake scheduled for 2024, will all use chiplet design.

Transition from Bumping to Hybrid Bonding

Our analysis in “Chiplet Design: A Real Game-Changer for Substrates” laid out the comprehensive impact of the evolution of chiplet technology on substrates. In fact, chiplets have already caused a significant disruption to the most advanced semiconductor packaging technologies, necessitating the transition towards advanced 2.5D and 3D packaging technologies.

The bottleneck of advanced packaging lies in the chiplets’ interconnections, with bump and microbump still being the key technology for linking chips and forming I/O joints. These connection densities are hard to enhance, thus limiting the overall chip’s transmission speed. In addition, the more chiplets being stacked, the bigger the chip volume gets. The challenge is how to limit the chip size within a specific range, considering the current technical constraints.

Therefore, copper-to-copper hybrid bonding, also known as DBI (Direct Bond Interconnect), has been emerging as the key technology route that overcomes major hurdles in chiplet integration from the bottom-up.

Unlike bumping technology, hybrid bonding significantly shrinks the I/O joint space. The future transmission demand requires the I/O joint space between chiplets to be less than 10µm. While bumping is limited to around 20µm, hybrid bonding can take this down to an impressive 1µm or even less. This also means more I/O joints can be fitted in the same chip size – even reaching up to millions on a mere 1cm2 chip.

On top of this, hybrid bonding only adds an extra 1-2µm of thickness, compared to the 10-30µm of microbump, thereby helping reduce the thickness of stacked chips.

To put it simply, hybrid bonding can boost transmission efficiency, minimize energy usage with higher density of copper joints, manage chip volume, and even cut down on material costs.

The Race for Advanced Packaging Is Kicking Off

Moving forward, hybrid bonding is set to become the key technology supporting the continuous development of chiplet design and 3D packaging. This has been exemplified by TSMC’s front-end So IC packaging technology which is based on hybrid bonding. This puts AMD, a key customer of TSMC, in a favorable position to get ahead.

From AMD’s roadmap of 3D V-Cache technology, they have stacked SRAM on top of CCX (CPU Complex), and gradually integrated it into Milan-X series, the EPYC server CPUs, and Ryzen series, the consumer-grade CPUs, over the past two years. This has significantly improved performance and power consumption as a whole.

Not to be outdone, this year Intel also launched their Foveros Direct packaging technology, which is also based on hybrid bonding route. Assuming everything proceeds smoothly, we can anticipate the release of CPUs utilizing Foveros Direct technology by 2024.

As we look at the current products, AMD’s hybrid bonding apparently focuses on stacking SRAM and computing units at the moment. However, as CPU leaders deepen their understanding of this technology, the application field is expected to further expand. In other words, the future of hybrid bonding solutions stacking multiple computation units is just around the corner.

2023-05-18

The Investment Surge: China’s PMIC Industry Revs Up

Under the grand banner of China’s domestic substitution policy, the wave of locally produced chips is swiftly spreading to the realm of Power Management ICs (PMICs).

Over the past three years, the number of fundraisings for Chinese PMIC manufacturers has shot up. We’ve seen an increase from 18 rounds in 2020 and 19 rounds in 2021 to a whopping 24 rounds in 2022 – a substantial leap from the figures in 2018 and 2019.

Looking at the number of IPO last year, 23 Chinese automotive-grade chip companies went public, with another 25 poised to follow suit. Among these 48 automotive chip firms, 12 boast PMICs, making it the largest product sector in these investments.

New Energy Vehicles Fuel China’s PMIC Market

Both the data points signal a golden era for Chinese PMIC industry, with the new energy vehicles(NEV)emerging as a key driving force.

Compared to traditional vehicles with internal combustion engines, NEV requires a greater number of PMICs, like DC/DC converters, to manage voltage conversions. This, in turn, propels overall PMIC growth. From 2021, automotive PMICs have entered a phase of rapid growth. TrendForce forecasts that the scale of automotive PMICs will reach $7.65 billion by 2023, marking a year-on-year growth of 4.2%.

Government’s subsidy incentives and a booming domestic demand for NEV are the primary reasons for nudging the Chinese semiconductor industry to embrace PMICs more quickly. This trend aligns perfectly with the growth trajectory of China’s power semiconductors.

Chinese Manufacturers Plant Flags in Automotive PMICs

Over the past year, several domestic PMIC manufacturers, including SG Micro, Etek, Shanghai Belling, and Halo Micro, have rolled out automotive-grade PMICs. Some of these chips have even entered mass production and are being adopted by domestic vehicle bands.

Foundries are equally keen to seize the golden opportunity. For instance, GTA Semiconductor has successfully raised over 10 billion yuan in recent years. The company has earmarked a portion of the funds specifically for the R&D of automotive-grade PMIC.

However, the opportunities come with their fair share of challenges. New entrants must navigate stringent automotive certifications, ensure product resilience across extreme temperature ranges from -40°C to 125°C, guarantee a product lifespan exceeding ten years, and manage prolonged validation cycles. These demanding requirements significantly raise the entry barriers for newcomers.

On a global scale, international IDM giants like Infineon, NXP, TI, and Renesas are well entrenched in the PMIC sector, boasting a diverse range of products. In contrast, Chinese PMICs supply chain are just off the starting blocks of the race. To gain trust from customers, expand their product portfolio, and penetrate the global market, they are bound to confront a succession of hurdles, which will persistently scrutinise the enduring R&D capabilities and business strategies of each manufacturer.

2023-05-11

A Deep Dive into China’s Leading Foundries Amidst US Restrictions

The risks associated with the United States’ suppression of China’s semiconductor industry and the ongoing tension in China-US relations continue to permeate the supply chain. However, most customers of foundries are adopting a cautious approach, either maintaining a wait-and-see attitude or gradually introducing second sources to mitigate risks.

The operational conditions and challenges faced by China’s two major foundries, SMIC and HuaHong, differ to some extent. In the case of SMIC, despite being added to the U.S. Entity List as early as 2020, most of its customers continue to place orders with SMIC due to concerns about the time-consuming and costly nature of verification.

According to a survey by TrendForce, only one U.S.-based brand is actively pursuing a decoupling strategy in response to U.S. government bids, while other brands are mostly conducting risk assessments of their supply chains without fully implementing a complete decoupling strategy.

In particular, SMIC still maintains a competitive edge in terms of lower prices and the advantage of the domestic Chinese market, which keeps most of its customers placing orders and prevents a significant drop in overall capacity utilization rate compared to other foundries. Its utilization rate in 1Q23 was approximately 65-70%, and it is expected to slightly increase to nearly 70% in 2Q23.

HuaHong, on the other hand, is taking a cautious approach to address the risks arising from the China-US tension. HuaHong’s subsidiary, ICRD, primarily focuses on process technology R&D, with a particular emphasis on the 28/14nm process nodes.

It is currently setting up a specialized 28nm production line, which uses photolithography equipment from two major international manufacturers, ASML and Nikon. For all other equipment, Chinese domestically manufactured machines are being used as substitutes.

The planned total production capacity for this production line is 40Kwspm ( wafer starts per month). Considering the possibility of both Japan and the Netherlands potentially joining trade sanctions later this year, the future expansion plans for HuaHong’s production capacity are uncertain.

(Photo Credit: SMIC)

2023-05-09

Samsung Starts the Foundry Battlefield with a Saying of Surpassing TSMC in 5 Years

Samsung recently announced that they will ahead of TSMC in the foundry market within 5 years. At the same time, Intel also claimed to become the second-largest player in the market before 2030. Currently, both Samsung and TSMC are adapting 3nm process to do the chip manufacturing, with the technology of GAA(Samsung) and FinFET(TSMC) respectively.

Samsung sees GAA technology as a crucial key to surpassing TSMC. Currently, Samsung’s 4nm lags behind TSMC by about 2 years, and its 3nm is about a year behind. However, this situation will change when TSMC turns to 2nm. Industry insider sources indicate that TSMC plans to use GAA technology in 2nm process, and Samsung believes that they can seize the chance to catch up with TSMC since TSMC may have a hard time when turning to 2nm process.

Industry insiders have revealed that AMD has shifted some of its 4nm CPU chip orders from TSMC to Samsung. It is reported that AMD has signed an agreement with Samsung to manufacture some of its mobile SoC by using Samsung’s 4nm node, and Samsung may also manufacture AMD’s Chromebook APU.

The Fight in the Foundry Market is On

According to TrendForce, the top 10 global foundry players in 4Q22 with TSMC account for 58.5% of market share by revenue, far ahead of Samsung’s 15.8%. Industry insiders suggest that Samsung still has a long way to go to catch up with TSMC. Some sources say that TSMC’s 2nm process will be mass-produced as scheduled in 2025, while Samsung’s plans are still to be observed.

Intel is also striving for the top spot in the wafer foundry market. Since the beginning of 2021, Intel has implemented a series of measures in its foundry business after announcing its “IDM 2.0” strategy. Last July, Intel stated that it will manufacture chips for MediaTek, and the first batch of products will be produced within the next 18 to 24 months using more mature manufacturing technology (Intel 16). In addition, Intel said that Qualcomm and Nvidia are also interested in having them manufacture their chips. To regain its leading edge in chip manufacturing, Intel has unveiled its 5 process technology stages to be launched in the next few years, including 10nm, 7nm, 4nm, 3nm, and 20A.

And TSMC has no competitive relationship with their clients by not doing the wafer design, apparently, this is also a significant advantage for TSMC and other foundry manufacturers. In recent years, more companies have recognized the importance and highly profitable nature of foundry manufacturing, leading to the independent establishment of foundry manufacturing operations. Samsung and Intel have also followed this trend, as foundry manufacturing can optimize production technology and provide major companies with more opportunities for trial and error.

  • Page 48
  • 52 page(s)
  • 258 result(s)

Get in touch with us