IC Manufacturing, Package&Test


2023-04-25

China’s Semiconductor Equipment booms: A New Gold Rush?

From the Entity List in 2020 to the Chips and Science Act of 2022, the US government has been tightening its grip on China’s semiconductor industry by blocking the export of advanced semiconductor manufacturing equipment. The pressing question on everyone’s mind is: Will China’s semiconductor industry crumble under this pressure?

The answer, based on recent market reactions, is a resounding no.

Riding the Waves through Headwinds

Despite international semiconductor equipment manufacturers facing production cutbacks, China’s semiconductor equipment industry is thriving. In the first quarter, Naura, the leading semiconductor equipment manufacturer, reported a whopping 68.56%-87.29% increase in revenue, with a 171.24% to 200.3% increase in net profit. This has spurred growth across the entire Chinese A-share market for semiconductor equipment concept stocks such as Piotech, PNC process System, Advanced Micro, ACM Research and Hwatsing Technology.

This growth highlights a great leap forward in semiconductor process technology. Despite the adverse effects of the US’s broad-based restrictions, they have nonetheless created a favorable environment for testing and substitution opportunities. This, in turn, has enabled Chinese manufacturers of semiconductor equipment to increase their market share in the area of established semiconductor processes.

Full Speed Ahead: Aiming High for 5nm

In key semiconductor manufacturing processes such as thin film deposition, etching, ion implantation, CMP, and cleaning, Chinese manufacturers have already moved beyond traditional equipment development cycles and are progressing towards advanced process technology at full speed.

According to TrendForce, Chinese semiconductor equipment companies such as Naura and Advanced Micro(AMEC) are capable of supporting 28/14 nm in some process steps, and have even tentatively established their presence in 5 nm process technology.

Our summary identifies the main players to watch in thin film deposition, etching, and EUV:

  • Thin film deposition: Naura

Naura has achieved full coverage of PVD, CVD, and ALD product lines, with product lines matching international leaders such as Applied Materials, Lam, and Tokyo Electron. Naura has unique competitive advantages in the PVD field, with over 20% of its PVD equipment being supplied to Chinese 12-inch production lines such as YMTC(Yangtze Memory Technologies Co., Ltd), making it the second-largest PVD equipment supplier after Applied Materials.

Additionally, since 2012, Naura has sold over 200 PVD equipment, gradually achieving their goals for equipment substitution.

  • Etching: AMEC and Naura

As the leading CCP etching machine, AMEC has successfully penetrated TSMC’s 5nm production line, becoming the first domestic etching equipment to break through in the advanced process area. AMEC has also achieved large-scale adoption in 64-layer, 128-layer 3D NAND process, and 1x DRAM process. These main product portfolios contributed to the company’s 47.3% YoY revenue growth rate in the first half of 2022. In addition, AMEC’s etching equipment also enjoys a high gross profit margin of 46.02%.

On the other hand, Naura is at the forefront of ICP silicon etching equipment. Its first-generation 12-inch etching equipment underwent certification for 90-65nm at the SMIC’s fab in Beijing in 2008. In addition, with the support of national research projects, Naura’s ICP etching machine has also broken through 14nm barriers and been adopted by mainstream foundries.

  • Photolithography: Shanghai MicroElectronics Equipment(SMEE)

Photolithography is a critical process that China is strategically including in their semiconductor industry plans. They’re aiming to develop 28nm immersion exposure machines and core components through collaborative efforts: SMEE will lead the overall design and integration, with five or more companies providing key components.

Although SMEE has preliminary DUV exposure machine technology, it’s limited to more mature processes on 8-inch and 12-inch wafers at 90nm, 110nm, and 280nm, leaving a significant gap with international leaders.

From Toddler to Major Player

Although China’s equipment manufacturers are still at their toddler stage, the increasing momentum suggests that they will continue to make significant progress. Assuming that China’s policy support towards the development of 14nm and below semiconductor processes remains unchanged in the coming years, it is highly likely that the country’s market will fundamentally experience a transformation.

At this point, China’s semiconductor industry will enter a new era of high-speed growth, paving the way for the country to become a major player at global level. As China’s domestic market grasps the technology and commercial logic along the way, it will potentially have more influence over the global supply chain, as a result triggering a shift in the worldwide semiconductor industry in the long run.

2023-03-17

U.S. Government to Target 28nm Processes in Next Phase of Export Regulations

On October 7, 2022, the U.S. government imposed export regulations restricting China’s access to semiconductor technology. In particular, the sanctions pertained to manufacturing equipment required in the production of 16nm/14nm or more advanced logic chips (FinFet, GAAFET), 18nm or more advanced DRAM chips, and NAND Flash with 128 or more layers. It’s evident that the U.S. intends to restrict China’s semiconductor manufacturing to 1Xnm. Moving forward, 28nm processes are likely to be included in the next set of regulations as some equipment used in manufacturing 28nm nodes can also be utilized in more advanced processes.

TrendForce predicts that upcoming U.S. export regulations will further focus on 28nm processes. Not only can 28nm manufacturing equipment be used in more advanced processes, but tight restrictions have forced Chinese companies to focus their efforts on expanding their 28nm operations. 28nm processes can be used to produce a large variety of other products: SoCs, ASIC AI chips, FPGAs, DRAMs, NAND Flash, ISPs, DSPs, Wi-Fi chips, RF components, Driver ICs, MCUs, CISs, DAC/ADC chips, PMICs, and other core components in a wide range of applications. If the U.S. allows Chinese companies to accelerate the expansion of their 28nm processes, China’s importance in the supply chain for terminal products will continue to climb — ultimately setting back the U.S’s efforts to decouple itself from China.

China still unable to fully manufacture 28nm chips domestically as expansion exhibits signs of slowing down

China cannot fully rely on domestic production for their 28nm semiconductors. If the U.S. chooses to move forward with restricting China’s access to 28nm manufacturing equipment, expansion will surely grind to a halt. China currently possesses equipment that is able to clean, backgrind, etch, and sediment for 16nm/14nm or more advanced processes. However, this is not enough for China to achieve semiconductor autonomy. Semiconductor manufacturing is relatively complicated as it involves thousands of processes; Chinese factories are only involved in a few of the processes — the majority of which depend on American and Japanese factories. All in all, with China’s semiconductor industry largely focused on 28nm/40nm and more mature processes, it will be difficult for them to achieve semiconductor autonomy for processes more advanced than 28nm by 2028.

2023-02-09

[Chip War] The Latest Update of US Sanctions’ Impact on The Progress of Chinese Semiconductor Development

According to TrendForce’s latest investigation, Chinese foundries have already suspended plans to expand production capacity for advanced processes after the US government began restricting the exportation of equipment and technical support for processes related to non-planar architectures. TrendForce believes that a further tightening of the restrictions on lithography equipment will mainly affect mature processes, especially the 28nm. Chinese foundries might proceed more slowly in adding new production capacity or raising output for the 28nm process due to the prolonged reviews on their equipment purchases.

TrendForce semiconductor analyst, Joanne Chiao, said that Chinese semiconductor companies have already suspended the development of chips featuring the GAA architecture (i.e., nodes that are generally ≤3nm) after the US government began restricting the exportation of EDA tools and related technical support. If we talk about the FinFET architecture that Chinese foundries are able to produce for now, it is possible to achieve the faster computing speed of the more advanced chips by combining multiple lower-end chips. However, it might also be very challenging to raise the production yield rate of a solution that integrates multiple chips, not to mention that the power consumption of such solution might be very high as well.

Seeing the US export control, for now, US government has not imposed restrictions on the exportation of technical support for processes related to planar architectures. On the other hand, Chinese foundries might halt their advanced chip (14nm) production at any time if they encounter an equipment malfunction or another problem that requires technical support from US equipment providers.

At last, Chiao emphasized that the US sanction has definitely accelerated the development of an “all-China” semiconductor manufacturing supply chain. Nevertheless, the world’s top eight semiconductor equipment providers all come from Japan or the US. From the perspective of the foundry industry, it will be hard for China to realize a wholly or mostly native semiconductor supply chain within the foreseeable future.

2023-02-03

Oversupply Worries in Semiconductor Industry in 2023

The market started worrying about the oversupply in semiconductor 2023, when the demand will start growing again depends on two factors: the situation of the macroeconomy and the inventory status.

Since foundries’ capacity utilization rates started drop in 3Q22, chip supply as a whole has decreased significantly. This, in turn, has helped limit inventory growth across the supply chain. However, the global economy is still at risk of a mild recession, so consumers may allocate more of their spending to daily necessities. They may also spend more on tourism due to easing of the pandemic. This could lead to weak sales for consumer electronic products.

Not to mention that most consumers already purchased the electronic products that they need for working or studying at home during the pandemic. Assuming that the overall inventory level of the supply chain will return to a healthier level, TrendForce believes that chip demand will begin to rebound to a certain extent in 2Q23. Then, the demand growth will become more obvious from 3Q23 onward. Nevertheless, this demand growth may not be too strong due to uncertainties in the global economy.

If we observe the situation from the perspective of the foundry industry, smartphones represent the largest application segment in terms of wafer consumption. The smartphone supply chain started inventory correction earlier, so demand rebound might be more obvious initially for smartphone-related chips compared with chips used in other consumer devices. On the other hand, with different benchmarks, the demand for HPC chips will show more significant growth compared with the demand for smartphone chips.

2022-10-17

[Chip War] A heavy handed approach to blockading China’s semiconductor development, understanding the impact of the US chip ban

The U.S. Department of Commerce announced new semiconductor restrictions on October 7 in the United States. In addition to existing restrictions on the logic IC sector, this new update extends to the memory category. In addition to Chinese-funded enterprises, the extent of these restrictions stipulates foreign-owned production centers located in China will also need to apply for approval on a case-by-case basis in order to continue to obtain manufacturing-related equipment. The US ban has far-reaching effects and may extend to the global chip industry.

U.S. ban hobbles China’s semiconductor industry, affecting foundry and memory industries

The U.S. Department of Commerce announced a series of chip export control measures on the 7th, which mainly restrict China’s ability to obtain advanced computing chips, develop supercomputers, and manufacture advanced semiconductors.

However, relevant restrictions also prohibit third-country companies such as TSMC from using US-made equipment to service Chinese customers without U.S. approval in some cases. According to TrendForce, a market research agency, the ban will expand the scope of these restrictions. In the future, it will target American companies, including CPUs, GPUs, and AI accelerators, used in HPC fields such as datacenters, AI, and supercomputers. All of these items will require review before export to China. In addition, foundries may no longer be able to manufacture any of the above-mentioned HPC-related chips for any Chinese IC design house.

TrendForce believes, regardless of whether the client is a Chinese or American IC design house, most HPC-related chips are currently manufactured by TSMC with mainstream processes at the 7nm, 5nm, or certain 12nm nodes. In the future, whether the situation is American factories no longer being able to export to the Chinese market or Chinese factories being unable to initiate projects and mass produce wafer starts, it will all have a negative impact on the future purchase order status of TSMC’s 7nm and 5nm processes.

In terms of memory, according to the new specifications announced by the U.S. Department of Commerce, the DRAM portion of sanctions will be limited to the 18nm process (inclusive) and equipment must be reviewed by the Department before import. This move will greatly restrict or delay the sustainable development of China’s DRAM sector and China’s memory manufacturers will be the first to bear the brunt of these sanctions.

TrendForce indicates that CXMT possesses the largest memory market share for a Chinese company in the domestic Chinese market. Since 2Q22, the company has been committed to moving from the 19nm process into the 17nm process. Although the purchase of machinery to fulfill future needs had been accelerated before the ban, volume is still insufficient. CXMT continues to build new plants, including Phase 2 in Hefei and SMBC (SMIC Jingcheng), which is in discussion with SMIC. All of these projects will face difficulties in obtaining equipment in the future.

The C2 plant of SK hynix’s DRAM production center in Wuxi is also affected by the restriction order. The factory accounts for approximately 13% of the world’s total DRAM production capacity and its process has evolved to 1Ynm and more advanced nodes.

In terms of NAND Flash, TrendForce indicates that the import of NAND production equipment into China will be further restricted in the future, especially for equipment used in the manufacture of product of 128 layers and above (inclusive), requiring prior approval before import. It is estimated that this ban will significantly impact the long-term plans of China’s YMTC to upgrade its factory campuses, restrict YMTC from further expanding its customer base as the ban may will greatly limit non-Chinese customers’ adoption and consideration of YMTC products, and impact Samsung’s Xi’an plant and Solidigm’s process migration plan in Dalian.

U.S. temporarily exempts several suppliers as ban disrupts supply chains

In order to mitigate excessive impact of the U.S. imposed China chip ban on the semiconductor industry, the U.S. recently exempted several semiconductor companies (including in the United States, Taiwan, and South Korea) from certain restrictions.

According to Wall Street Jounal, Intel, SK Hynix, and Samsung have all received one-year exemptions. SK Hynix also issued a statement stating that the company has completed negotiations with the U.S. Department of Commerce and has obtained approval to provide equipment and items required for the development and production of DRAM semiconductors in Chinese manufacturing plants without additional licensing requirements. The authorization period is one year.

In addition, Nikkei Asia News also quoted sources as saying that TSMC has also received a one-year exemption to continue ordering U.S. chip manufacturing equipment to expand its Chinese plant. According to people familiar with the matter, the U.S. government has assured TSMC that the equipment will be shipped to its Nanjing fab, which means the company’s China’s development plan remains unchanged and is progressing smoothly.

(Image credit: iStock)

  • Page 49
  • 52 page(s)
  • 258 result(s)

Get in touch with us