Semiconductors


2024-09-09

[News] Showing Positive Progress, TSMC’s Arizona Fab Reportedly Reaches Production Yields on Par with Taiwan

As per a report from Bloomberg citing sources, it’s pointed out that TSMC’s first US fab in Arizona began producing engineering wafers using the 4nm process in April, with yields reportedly comparable to those manufactured in its Southern Taiwan Science Park facility, showing promise in meeting its targets.

Addressing on the matter, TSMC reportedly stated that the project is proceeding as planned and is making good progress, without further commenting on the yield.

TSMC plans to build three plants in Arizona, each with cleanroom spaces twice the size of typical logic fabs in the industry. The first fab is expected to begin mass production in the first half of 2025.

TSMC’s second fab in Arizona will use 2nm process technology to meet strong AI-related demand, with production expected to begin in 2028. The third fab will employ 2nm or even more advanced process.

TSMC stated that once the fabs are fully operational, they will deliver the same level of manufacturing quality and reliability in Arizona as is provided in its Taiwan facilities.

The U.S. government officially announced in April that it will provide a USD 6.6 billion subsidy to TSMC, and confirmed that TSMC will build its third fab in Arizona, USA, with total investment rising to USD 65 billion.

Read more

(Photo credit: TSMC)

Please note that this article cites information from Bloomberg and TSMC.

2024-09-09

[News] Intel Reportedly Outsources All Sub-3nm Process Production to TSMC 

Intel has outsourced the production of its Lunar Lake processors to TSMC. According to a report from Commercial Times, due to recent setbacks in Intel’s wafer foundry business, the company has decided to outsource all sub-3nm process manufacturing to TSMC.

The company is also said to be implementing a global 15% workforce reduction plan in an effort to reverse its decline. However, industry sources also reveal that the layoffs are primarily targeting the foundry business, while Intel’s Taiwan branch remains unaffected to maintain production partnerships with local chip manufacturers.

Intel remains committed to its wafer foundry business, as it reportedly released the 18A process design kit (PDK) to IC manufacturers in July.

However, recent reports indicate that Broadcom has expressed concerns about the feasibility of Intel’s 18A process, concluding that it is not suitable for mass production. A Broadcom spokesperson cited by the report has indicated that it is currently evaluating Intel Foundry Services’ products and services, though no final assessment has been reached yet.

Industry sources cited by Commercial Times further note that Broadcom has been collaborating with TSMC for many years, particularly in advanced processes below 7nm, positioning itself as a key player and securing a spot among TSMC’s top ten customers.

Looking at Intel’s latest quarterly report, its foundry business posted a loss of USD 2.8 billion, with an operating profit margin of -65.5%. The company acknowledged that the ongoing expansion of its Intel 4 and Intel 3 facilities in Ireland, along with increased R&D and startup costs for advancing its technology development, will impact profitability.

This has thus underscored the significant challenges Intel faced in achieving both technological breakthroughs and mass production in the semiconductor industry.

Intel is cutting costs and driving efficiency while actively pursuing transformation. The company aims to save USD 10 billion by 2025, even halted dividend payments—a move not seen in 30 years.

Additionally, its global expansion efforts have slowed. As per a recent report from Malaysian media outlet The Star, citing informed sources, it’s reported that Intel will temporarily halt its new chip packaging and testing project in Penang as part of cost-cutting efforts.

Read more

(Photo credit: Intel)

Please note that this article cites information from Commercial TimesIntel and The Star.

2024-09-09

[News] Netherlands Expands Export Control over ASML’s Two DUV Machines, Effective on September 7th

In late August, it is said that the Netherlands mulls to ban ASML, the country’s semiconductor equipment giant, from conducting equipment maintenance and providing related backup components in China. Now the latest regulation has been revealed, as the Dutch government announced last Friday the expansion of export restrictions on advanced semiconductor manufacturing equipment, which covers two of ASML’s DUV immersion lithography systems, according to a report by CNBC.

The new export control rule, which took effect on September 7th, indicates that ASML will now have to apply for licenses with the Hague rather than the US authority for some of its machines, as the Dutch government regards these curbs as a critical measure for national security, and attempts to gradually take the initiative rather than following the U.S., a report by Bloomberg notes.

According to the official announcement made by ASML, the new rule will require its TWINSCAN NXT:1970i and 1980i DUV immersion lithography systems to obtain a license from the Dutch government before being exported. The Dutch export license requirement is already in place for ASML’s TWINSCAN NXT:2000i and subsequent DUV immersion systems.

Regarding ASML’s sales in lithography units in the second quarter of 2024, China emerged as the largest market, as it contributed 49% of the revenue, higher than South Korea’s 28% and Taiwan’s 11%.

Interesting enough, ASML refers to the updated license requirement as “a technical change,” and is not expected to have any impact on the company’s financial outlook for 2024 or for its longer-term scenarios.

The latest move from the Dutch government is less harsh than the rumors earlier, which indicated that the Netherlands might ban ASML from conducting equipment maintenance and providing related backup components in China. The measure, if implemented, would be a heavy blow to China’s semiconductor industry, especially on the development of advanced nodes.

In response, China has expressed “dissatisfaction” with the Dutch government’s decision to expand export controls on ASML chipmaking equipment, according to the statement by the Chinese commerce ministry on Sunday, cited by Reuters.

The ministry urged the Dutch government not to misuse export controls, to avoid actions that could harm Sino-Dutch cooperation in the semiconductor industry, and to protect the “shared interests of Chinese and Dutch enterprises,” according to Reuters.

Beijing has consistently been criticizing Washington’s approach of pressuring allies like the Netherlands and Japan to implement export controls aimed at limiting China’s access to advanced chips and chipmaking equipment.

Read more

(Photo credit: ASML)

Please note that this article cites information from CNBCReuters, Bloombergand ASML.
2024-09-09

[News] TSMC’s Kumamoto Fab Influence Expands, Economic Spillover Effect Expected to Exceed JPY 10 Trillion

TSMC’s fab in Kikuyo, Kumamoto Prefecture, Japan (Kumamoto Fab 1) is expected to begin mass production by the end of 2024, with plans for a second fab in the region. Thus, the influence of TSMC’s presence continues to expand, as per the latest estimates from local financial institutions.

Over the next decade, from now until 2031, the economic spillover effect of TSMC’s operations in Kumamoto is projected to surpass 10 trillion yen, marking a 60% increase from a previous estimate in August 2023.

According to a report from Nikkei citing Kyushu Financial Group (Kyushu FG), a new impact estimate has been released on September 5, showing that TSMC’s operations in Kumamoto Prefecture are projected to generate an economic spillover effect of approximately JPY 11.2 trillion over the next 10 years, until 2031.

This marks a 60% increase from the previous estimate of JPY 6.9 trillion published in August 2023. The projected impact on Kumamoto Prefecture’s GDP over the same period has also risen from JPY 3.4 trillion to JPY 5.6 trillion.

Reportedly, the previous estimate from Kyushu FG last August only considered the benefits of TSMC’s Kumamoto Fab 1. The latest report, however, includes the planned construction of the Kumamoto Fab 2 in its evaluation.

The upward revision is attributed to the expanded magnetic pull of TSMC’s Kumamoto operations (both fabs). The number of companies expected to set up or invest in the region has increased to 171, roughly double the previous estimate.

Initially, the first Kumamoto fab attracted strong interest from suppliers like Sony and Mitsubishi Electric. Following TSMC’s announcement in February to build a second fab, further investments are expected, not only from within Kumamoto but also from other prefectures and overseas suppliers, particularly from Taiwan.

Additionally, the economic impact is expected to extend to wage levels in Kumamoto Prefecture, with an estimated increase of JPY 380,000 in per capita annual income.

Meanwhile, as stated in an report from Bloomberg on May 11th, Kumamoto’s newly appointed governor, Takashi Kimura, once claimed that he would spare no effort to persuade TSMC to establish a third fab in the region.

In addition, a recent report from Kyodo News citing the interview with Taiwanese Minister of Economic Affairs J.W. Kuo has also hinted that TSMC plans to build a third fab in Japan, but with a projected timeline after 2030.

If the third fab is realized, the economic spillover effect is anticipated to expand further.

Read more

(Photo credit: JASM)

Please note that this article cites information from NikkeiTSMCBloomberg and Kyodo News.

2024-09-06

[News] China’s Surge in Chipmaking Tool Purchases May Lead to Overcapacity Crisis of Legacy Chips

To counter the U.S.’s ongoing semiconductor restrictions launched the U.S., China has outspent the U.S., South Korea, Japan, and Taiwan combined on chip manufacturing equipment in the first half of this year.

However, sources cited by a report from Commercial Times have warned that China’s excessive investment could soon lead to global overcapacity issues in traditional chip production, which is similar to the oversupply problems seen in the electric vehicle and solar energy sectors in recent years.

Per the data cited by CNBC from the Semiconductor Equipment and Materials International (SEMI), China spent USD 24.73 billion on chip manufacturing equipment in the first half of 2024, surpassing the combined USD 23.68 billion spent by the U.S., South Korea, Japan, and Taiwan during the same period. This surge in spending is driven by China’s efforts to achieve semiconductor self-sufficiency amid U.S.-China tensions.

The report further notes that since the U.S. implemented stricter export restrictions in October 2022, Chinese companies have been rapidly accelerating their procurement. SEMI data suggests that China’s total procurement this year is expected to exceed USD 35 billion.

Citing Clark Tseng, Senior Director at SEMI, the report indicated that the current equipment stockpiling trend may continue into the second half of this year and is expected to ease only by 2025 as companies work to absorb excess capacity.

Citing Alex Capri, a Senior Lecturer at the National University of Singapore and Research Fellow at the Hinrich Foundation, CNBC pointed out that Chinese companies are preemptively stockpiling chip manufacturing equipment in response to the risk of further export restrictions from Washington before the U.S. presidential election.

Capri highlighted that as China is making smooth progress in traditional chip production, the world might soon face an oversupply of traditional chips, similar to the overcapacity issues seen in electric vehicles and solar panels.

As a result, companies outside China could struggle to compete in the sector with lower-priced products from Chinese companies.

A previous report from Bloomberg pointed out that China has thus become the largest market by revenue for top global chip equipment suppliers. The latest quarterly financial reports from companies such as Applied Materials, Lam Research, and KLA show that China contributes approximately 40% of their revenue.

For Japanese company TEL and Dutch company ASML, the contribution from the Chinese market is even more significant, with nearly half of their revenue coming from China.

Read more

(Photo credit: SMIC)

Please note that this article cites information from Commercial TimesCNBC and Bloomberg.

  • Page 10
  • 274 page(s)
  • 1370 result(s)

Get in touch with us