Semiconductors


2023-10-12

[News] Advanced Packaging in High Demand, TSMC and OAST Increasing Equipment Orders

As AI demand continues to surge, TSMC (Taiwan Semiconductor Manufacturing Company) has initiated an extensive expansion plan for its CoWoS (Chip-on-Wafer-on-Substrate) production. Within the industry, reports suggest that TSMC, a leading semiconductor foundry, placed a significant wave of orders with Taiwanese equipment manufacturers last week, creating a notable impact.

Additionally, outsourced semiconductor assembly and testing (OSAT) giants, which were originally inquiring about orders, have also significantly increased their orders for advanced packaging. This wave of orders is estimated to be fulfilled between March and April of the upcoming year.

The growth in demand for advanced semiconductor processes due to AI applications necessitates advanced packaging technologies to keep pace. With packaging technologies advancing from 2D and 2.5D to the more advanced 3D IC (Integrated Circuit) configurations, the number of IC stacking layers is increasing, leading to a greater demand for advanced packaging equipment. Considering the current emphasis on CoWoS technology, the industry estimates that production capacity will reach 12,000 to 14,000 wafers in 2023 and double to over 30,000 wafers in 2024.

According to a report by Taiwan’s Money DJ, information from equipment industry sources reveals that TSMC recommenced orders for CoWoS equipment in April 2023, with a second wave of orders in June. Subsequently, sporadic additional orders were placed, and last week witnessed a new significant wave of orders, surprising many.

An anonymous executive from a Taiwanese equipment company expressed that they initially believed TSMC’s orders for CoWoS equipment had concluded, making the recent wave of orders even more unexpected. Furthermore, the increase in orders for advanced packaging equipment by semiconductor testing and packaging facilities is also seen as encouraging.

Market experts believe that semiconductor testing and packaging facilities and semiconductor foundries have different positions and advantages in the advanced packaging market. Their cooperative relationships outweigh their competition. Major OSAT players like ASE, Amkor, and JCET have long possessed advanced packaging technology and are positioned to become an alternative choice for major foundries due to their technical upgrades and competitive pricing. In other words, top-tier orders from customers will be firmly in TSMC’s grasp, while other opportunities will likely be pursued by SATS companies.

Regarding the supply of CoWoS equipment, suppliers like Scientech have received over 30 orders for wet etching processing equipment, while Grand Process Technology and others have shipped nearly 20 units. Meanwhile, G2C+ Alliance members such as GMM and C Sun have reportedly received over 40 orders from the TSMC’s Longtan factory.

(Photo credit: TSMC)

2023-10-12

Continuous Rise in HBM Demand, Memory Giants Expecting HBM4 Delivery in 2025

Amidst the AI boom, HBM technology steps into the spotlight as market demand continues to surge. Global market research firm TrendForce anticipates a 58% year-on-year increase in HBM demand in 2023, with a potential additional growth of approximately 30% in 2024.

Compared to traditional DRAM, HBM (High Bandwidth Memory) boasts advantages such as high bandwidth, high capacity, low latency, and low power consumption. These attributes accelerate AI data processing and make it particularly well-suited for high-performance computing scenarios like ChatGPT. As a result, it has gained popularity, and major storage manufacturers are actively driving HBM technology upgrades.

Leading memory manufacturers are intensifying their efforts, with Samsung set to introduce HBM4.

Since the inception of the first HBM products utilizing TSV packaging technology in 2014, HBM technology has seen multiple upgrades, including HBM, HBM2, HBM2E, HBM3, and HBM3e.

Regarding the SK Hynix and Samsung, two major South Korean companies, have been at the forefront of HBM3 development. NVIDIA’s H100/H800 and AMD’s MI300 series, represent HBM3’s progress. Both SK Hynix and Samsung expected to offer HBM3e samples by the first quarter of 2024. On the other hand, Micron, a U.S.-based memory company, is bypassing HBM3 and directly pursuing HBM3e.

HBM3e will feature 24Gb mono die stacks, and with an 8-layer (8Hi) configuration, a single HBM3e chip’s capacity will soar to 24GB. This advancement is expected to be incorporated into NVIDIA’s GB100 in 2025, leading the three major OEMs to plan HBM3e sample releases in the first quarter of 2024 and enter mass production in the latter half of the year.

In addition to HBM3 and HBM3e, the latest updates indicate that storage giants are planning the launch of the next generation of HBM—HBM4.

Samsung recently announced that it has developed 9.8Gbps HBM3E and is planning to provide samples to customers. Furthermore, Samsung is actively working on HBM4 with a goal to begin supply in 2025. It’s reported that Samsung Electronics is developing technologies such as non-conductive adhesive film (NCF) assembly for optimizing high-temperature thermal characteristics, as well as hybrid bonding (HCB), for HBM4 products.

In September, Korean media reported that Samsung is gearing up to revamp its production process and launch HBM4 products to capture the rapidly growing HBM market. HBM4 memory stacks will feature a 2048-bit memory interface, a significant departure from the previous 1024-bit interface for all HBM stacks. This enhanced interface width holds great significance for the evolution of HBM4.

While HBM4 promises a major breakthrough, it is still a ways off, making it too early to discuss its practical applications and widespread adoption. Industry experts emphasize that the current HBM market is dominated by HBM2e. However, HBM3 and HBM3e are poised to take the lead in the near future.

According to TrendForce’s research, HBM2e currently accounts for the mainstream market share, being used in various products like NVIDIA A100/A800, AMD MI200, and many AI accelerators developed by CSPs. To keep pace with the evolving demands of AI accelerator chips, OEMs are planning to introduce new HBM3e products in 2024, with HBM3 and HBM3e expected to become the market’s primary players next year.

In terms of the demand transition between different HBM generations, TrendForce estimates that in 2023, mainstream demand will shift from HBM2e to HBM3, with estimated demand shares of approximately 50% and 39%, respectively. As more HBM3-based accelerator chips enter the market, demand will substantially shift toward HBM3 in 2024, surpassing HBM2e and accounting for an estimated 60% of the market. This transition, coupled with higher average selling prices (ASP), is poised to significantly drive HBM revenue growth next year.

(Photo credit: Samsung)

2023-10-11

[News] TSMC’s AI Orders Set for a Breakout Year in 2023 – Quanta, Wistron, and More Joining the Ride

In the industry buzz, it’s reported that TSMC expects a significant upswing in the proportion of AI orders within its 2024 revenue, driven by the increased demand for wafer starts from its six key AI customer groups in the coming year.

These six major AI customer groups encompass NVIDIA, AMD, Tesla, Apple, Intel, and international giants with in-house AI chip development, entrusting TSMC for production. The orders in this domain continue to heat up, not only benefiting TSMC but also signaling a robust year ahead for AI server manufacturer like Quanta and Wistron.

TSMC traditionally refrains from commenting on specific customer details and remained silent on market speculations on the October 10th. Meanwhile, AI server manufacturers, including Quanta and Wistron, hold a positive outlook for the upcoming year, with expectations of a continued upward trend in AI-related business operations.

As the demand for AI wafer starts from key customers intensifies, market experts are keenly watching TSMC’s investor conference on the October 19th. There is anticipation regarding whether TSMC will revise its previous July forecast by further increasing the Compound Annual Growth Rate (CAGR) of AI-related product revenue for the next five years.

TSMC categorizes server AI processors as those handling training and inference functions, including CPUs, GPUs, and AI accelerators. This category accounts for approximately 6% of TSMC’s total revenue. During TSMC’s July investor conference, it was projected that the demand for AI-related products would see a nearly 50% Compound Annual Growth Rate (CAGR) increase over the next five years, pushing its revenue share into the low teens range.

(Photo credit: TSMC)

2023-10-11

[News] Coherent’s SiC Semiconductor Unit Secures $1B from Denso & Mitsubishi Electric

A global supplier in materials, networking, and lasers, Coherent announced that DENSO  Corporation and Mitsubishi Electric Corporation have agreed to invest an aggregate $1 billion in its silicon carbide business (the “Business”). The transaction results from the strategic review process announced in May 2023 for the Business.

Under the terms of the transaction, DENSO and Mitsubishi Electric will each invest $500 million in exchange for a 12.5% non-controlling ownership interest in the Business, with Coherent owning the remaining 75%. Prior to the completion of the transaction, Coherent will separate and contribute the Business to a subsidiary. Coherent will control and operate the Business, which will continue to be led by Sohail Khan, Coherent’s Executive Vice President, New Ventures & Wide-Bandgap Electronics Technologies.

In connection with the transaction, the Business will enter into long-term supply arrangements with DENSO and Mitsubishi Electric that support their demand for 150 mm and 200 mm silicon carbide (“SiC”) substrates and epitaxial wafers.

“We are excited to expand our strategic relationships with DENSO and Mitsubishi Electric to capitalize on the significant demand for silicon carbide,” said Dr. Vincent D. Mattera, Jr., Chair and CEO, Coherent. “After a thorough review of strategic alternatives for our Silicon Carbide business, we determined that the creation of a separate subsidiary and the strategic investments from DENSO and Mitsubishi Electric, two leaders in SiC power devices and modules, is the best path forward to maximize shareholder value and position the Business for long-term growth. The investments from our strategic partners will be used to accelerate our capacity expansion plans and help sustain our leadership position, while ensuring the development of a robust and scalable supply for the rapidly growing market for SiC-based power electronics, largely driven by the explosive growth of the global electric vehicle market.”

“We are very pleased to establish a strategic relationship with Coherent, which has a world-class track record in SiC wafer manufacturing. Through this investment, we will secure a stable procurement of SiC wafers, which are critical for BEVs, and contribute to the realization of a carbon-neutral society by promoting the widespread adoption of BEVs,” said Shinnosuke Hayashi, President & COO, Representative Member of the Board at DENSO.

Dr. Masayoshi Takemi, Executive Officer, Group President, Semiconductor & Device of Mitsubishi Electric, said: “Demand for SiC power semiconductors is expected to grow exponentially as the global market for electric vehicles increases in line with the transition to a decarbonized world. To capitalize on this trend, we have decided to expand our SiC power semiconductor production capacity, including by constructing a 200 mm wafer plant in the Shisui area of Kumamoto Prefecture. We are delighted to strengthen our partnership with Coherent by investing in this new SiC company, which will provide us with a stable supply of high-quality SiC substrates essential for our increased supply capacity.”

Explore More

(Photo credit: Coherent)

2023-10-10

[Report Highlights] China’s EDA Industry Enters a Period of Consolidation amidst Rapid Growth

  1. China’s EDA Industry into Fast Development with Efforts of Downstream Industries, Capital and Policies Propel

Within the broader context of China’s push for semiconductor self-sufficiency in recent years, the domestic EDA (Electronic Design Automation) industry in China has undergone remarkable growth. This growth has been spurred by a collaborative effort involving the Chinese government (through policies and investment funds), the expansion of the IC design sector (the growth of IC design scale and investments upstream and downstream), and private offered funds.

EDA companies in China are in rapid growth, and finance companies reached its zenith in 2021, with funding amounts consistently setting new records year after year. In 2022, EDA financing amounted to approximately 8 billion RMB, with companies like Primarius Technologies, Empyrean Technology, and Semitronix making their debut on the stock market. Over the past three years, these companies have sustained a continuous uptrend in their revenues. All in all, with support from various quarters, China’s EDA industry is now on a fast track to development.

  1. Point Tools Take Center Stage for Chinese EDA Companies

Nowadays, the supply of EDA tools is largely controlled by Synopsys, Cadence, and Siemens EDA, three major players with deep technical expertise across the entire spectrum of EDA tools. While Empyrean Technology, having entered the arena early, boasts a comprehensive suite of EDA tools for analog circuit design and FPD, the majority of other Chinese EDA firms are strategically focusing on specialized point tools in simulation and verification.

These companies win customer recognition and purchases before broadening their path to other tool categories. Another strategic avenue pursued by Chinese EDA companies is the exploration of innovative opportunities in emerging fields such as AI chips, setting them apart from their larger counterparts.

  1. China’s EDA Industry Enters a Phase of Consolidation, Confronting Challenges of Inexperience and Political Risks

Over the past few years, the number of Chinese EDA companies and the scale of funding have surged dramatically. As they experience rapid growth, mergers and acquisitions (M&A) and investments have become indispensable means for Chinese EDA firms to fortify their positions. This trend is becoming increasingly conspicuous, with a total of 20 M&A and investment deals occurring within the Chinese EDA sectors over the past three years, comprising 2 in 2021, 15 in 2022, and 3 in the first half of 2023.

Primarius Technologies (with 9 deals), Empyrean Technology (with 3 deals), and Univesta (with 4 deals, one of which was unsuccessful) are among the firms with comparatively high M&A activity. Beyond M&A and investment, Chinese EDA companies are accelerating their collaborations to achieve complementary advantages, a trend that is expected to continue to gain momentum in the future.

China’s EDA companies do encounter certain challenges during the integration process: (1) They lack prior experience in M&A and must continually learn and experiment. (2) Given the global semiconductor industry’s shifting dynamics, they may encounter obstacles from local governments when pursuing overseas M&A and investments.

  • Page 212
  • 274 page(s)
  • 1370 result(s)

Get in touch with us