Articles


2024-06-04

[News] ST to Build a New 8-Inch SiC Facility in Italy with EUR 5 Billion

On May 31, STMicroelectronics announced to build a new high-volume 200mm silicon carbide (SiC) facility in Catania, Italy, for power devices and modules as well as test and packaging.

According to a report from WeChat account DRAMeXchange, the new plant aims to commence production in 2026 and ramp to full capacity by 2033, with a full production capacity of up to 15,000 wafers per week. The total investment is expected to be around EUR 5 billion, with a support of around EUR 2 billion provided by the State of Italy in the framework of the EU Chips Act.

ST stated that Catania Silicon Carbide Campus will serve as the central hub of ST’ s global SiC ecosystem, integrating all steps in the production flow, including SiC substrate development, epitaxial growth processes, 200mm front-end wafer fabrication and module back-end assembly, as well as process R&D, product design, advanced R&D labs for dies, power systems and modules, and full packaging capabilities. This will achieve a first of a kind in Europe for the mass production of 200mm SiC wafers.

Currently, ST is producing its flagship high-volume SiC products on two 150mm wafer production lines in Catania, Italy, and Ang Mo Kio, Singapore. The third center is a joint venture between ST and San’an, which is now building a 200mm plant in Chongqing, China, dedicated to serving ST’s Chinese customers.

ST’s wafer production facilities are supported by automotive-qualified, high-volume assembly and test operations in Bouskoura (Morocco) and Shenzhen (China). SiC substrate R&D and industrialization is undertaken in Norrköping (Sweden) and Catania, where ST’s SiC substrates manufacturing facility is ramping up production and most of ST’s SiC product R&D and design staff are based.

SiC is a compound semiconductor material with inherent properties that offer superior performance and efficiency in power applications compared to silicon. Driven by market demands in new energy vehicles, photovoltaic storage applications, the usage volume of SiC power devices continues to rise.

As per TrendForce’s survey, the market size of global SiC Power Device was around USD 3.04 billion in 2023 and is expected to grow to USD 9.17 billion by 2028 at a CAGR of 25%.

Read more

(Photo credit: STMicroelectronics )

Please note that this article cites information from WeChat account DRAMeXchange.

2024-06-04

[News] Fueled by AI Demand, TSMC Targets its System-on-Wafer Manufactured with CoWoS to Enter Mass Production in 2027

At TSMC’s 2024 Technology Symposium in late May, Kevin Zhang, TSMC Senior Vice President of Business Development, has shared the company’s latest development on advanced packaging. This article recaps the highlights in the forum, featuring TSMC’s breakthroughs regarding advanced packaging.

Advanced Packaging

SoW (System-on-Wafer Integration Technology)

SoW adopts TSMC’s InFO and CoWoS packaging technologies to integrate logic dies and HBM memory on the wafer. By doing so, TSMC aims to enhance performance and speed not just at the chip level, but the system level as well.

Currently, TSMC’s system-on-wafer manufactured with InFO technology has entered mass production. Afterwards, the company plans to develop and launch SOW using CoWoS technology to integrate SoC or SoIC, HBM, and other components together.

TSMC eyes its System-on-Wafer manufactured with the CoWoS technology to enter mass production in 2027, while its target applications would include AI and HPC, expanding the computational power needed for data centers of the next generation.

3DFabric

TSMC’s 3DFabric technology family includes three major platforms: SoIC, CoWoS, and InFO, encompassing both 2D and 3D front-end and back-end interconnect technologies.

SoIC

The SoIC platform offers two stacking solutions: SoIC-P (Bumped) and SoIC-X (Bumpless). The first solution, SoIC-P, is a micro-bump stacking solution suitable for cost-effective applications such as mobile devices.

The other solution, SoIC-X, adopts Hybrid Bonding, which is ideal for HPC and AI demands. The advantage of this solution is that the pitch between contacts can be reduced to a few micrometers (µm), increasing the interconnect interface between two chips while achieving a new level of interconnect density.

TSMC’s current bond pitch density with Hybrid Bonding has been reduced to 6 micrometers, and it aims to further reduce it 2 to 3 micrometers. In the meantime, the company has been advancing micro-bump technology, currently at over 30 micrometers, with the future goal of reducing it to the teens.

TSMC revealed that customer demand for SoIC-X technology has been increasing, with 30 customer design tape-outs expected by the end of 2026.

CoWoS / InFO

The CoWoS advanced packaging family includes three members: CoWoS-S, CoWoS-L, and CoWoS-R. The three platforms can mainly be differentiated by their intermediate layer materials, which may also affect the cost. In other words, CoWoS-S utilizes silicon interposer, CoWoS-L uses LSI (Local Silicon Interconnect), while CoWoS-R uses RDL (Redistribution Layer) wiring to connect small chips.

Depending on product requirements, SoIC chips can be integrated with either CoWoS or InFO. AMD’s MI300A / MI300 X is the first product to adopt SoIC-X and CoWoS technology.

One of the most well-known product which adopts TSMC’s CoWoS-L technology would be NVIDIA’s Blackwell AI accelerator, which integrates two SoCs using 5nm with eight HBM into one module.

Moreover, TSMC’s CoWoS technology integrates advanced SoCs/SoICs with HBM to meet the requirements of AI chips. Its SoIC has entered mass production through the CoWoS-S platform. Going forward, TSMC plans to develop a SoIC chip with an eight-time mask size (using the A16 process) and a CoWoS solution with 12 HBM stacks. This updated version is expected to enter mass production in 2027.

(Photo credit: TSMC)

2024-06-03

[News] AMD Unveils MI325X, Claiming 30% Faster Computing Power than NVIDIA’s H200

AMD Chairman and CEO Lisa Su unveiled the company’s latest AI chip, MI325X, at the opening of Computex Taipei on June 3. She emphasized that the MI325X boasts 30% faster computing speed compared to NVIDIA’s H200. According to a report from CNA, Su also announced that AMD plans to release a new generation of AI chips each respective year, hinting at a strong competitive stance against NVIDIA.

Lisa Su announced that the MI300 series is AMD’s fastest progressing product. The tech giant’s next-generation AI chip, MI325X, features HBM3e and is built on the CDNA 3 architecture.

According to Su, AMD MI325X outperforms NVIDIA’s H200 in performance and bandwidth, more than twice than that of NVIDIA’s H200. On the other hand, MI325X delivers 30% faster computing speed compared to the H200.

Furthermore, Su also announced that AMD will release MI350 in 2025, which will be manufactured with 3nm process, while MI400 is expected to follow, launched in 2026.

On June 3, Lisa Su stated that AMD will continue its collaboration with TSMC, advancing process technology to the 3nm and even 2nm nodes. Yet, Su did not directly address the previous market rumors suggesting that AMD might switch to Samsung’s 3nm technology.

Previously, as per a report on May 29th from The Korea Economic Daily, it has speculated that AMD is likely to become a customer of Samsung Electronics’ 3nm GAA process. Reportedly, during AMD CEO Lisa Su’s appearance at the 2024 ITF World, which was hosted by the Belgian microelectronics research center imec, Su revealed that AMD plans to use the 3nm GAA process for mass-producing next-generation chips.

Per the same report, Lisa Su stated that 3nm GAA transistors can enhance efficiency and performance, with improvements in packaging and interconnect technology. This will make AMD products more cost-effective and power-efficient. The report further addressed that, as Samsung is currently the only chip manufacturer with commercialized 3nm GAA process technology, Su’s comments were interpreted as indicating that AMD will officially collaborate with Samsung for 3nm production.

Read more

(Photo credit: AMD)

Please note that this article cites information from CNA and The Korea Economic Daily.

2024-06-03

[News] Huawei Executive Acknowledges Production Bottleneck, Addressing that Solving 7nm Issues Would be Good Enough 

According to a report from Chinese media outlet “Phoenix New Media,” Zhang Ping’an, Executive Director of Huawei and CEO of Huawei Cloud Computing Technologies, acknowledged that China is unlikely to achieve 3nm or 5nm processes and emphasized that solving issues relating to 7nm would be good enough.

Zhang pointed out that the semiconductor industry in China currently cannot directly compete with developed countries in cutting-edge processes, such as 3nm and 5nm. This is an indisputable fact, but it does not mean that China’s semiconductor industry has no prospects for development, the report noted.

Zhang believed that the semiconductor industry in China should be more focused on deepening efforts in relatively mature processes, such as 7nm, to enhance product performance and reliability, meeting the needs of the market and users.

Zhang further emphasized that the innovation direction of China’s semiconductor industry should not be limited to any single-point chip processes alone. Moreover, overemphasis on advanced processes may lead to the ignorance of optimization and innovation in system architecture, which potentially resulting to bottlenecks in overall performance.

He also stated that China’s semiconductor industry should pay more attention to innovation in system architecture. By optimizing the collaborative work between chips and systems, overall performance can be enhanced, thereby gaining greater competitive advantages in the global market.

Read more

(Photo credit: Huawei)

Please note that this article cites information from Phoenix New Media.

2024-06-03

[News] A Recap of NVIDIA’s Four Major Application Areas of New Technology from Jensen Huang

During NVIDIA founder and CEO Jensen Huang’s keynote speech on June 2, he shared insights on how the AI era is driving the development of a new global industrial revolution.

According to a report from TechNews, he covered various technologies and application areas, including advancements in accelerated computing, microservices, industrial digitalization, and consumer devices, which are expected to become key focus areas in the evolving AI market.

  • Accelerated Computing

1. Collaboration between the computer industry and NVIDIA to build AI factories and data centers: NVIDIA and leading computer manufacturers worldwide announced today the launch of a series of systems based on the NVIDIA Blackwell architecture. These systems feature Grace CPUs, NVIDIA networking technologies, and infrastructures to assist enterprises in establishing AI factories and data centers.

2. Foxconn utilizes NVIDIA artificial intelligence and Omniverse technology to train robots and streamline assembly operations: Foxconn operates over 170 plants worldwide, with its latest being a virtual plant driving the latest developments in industrial automation technology.

The latest of Foxconn’s plant is a digital twin model of a new factory in Guadalajara, Mexico, a hub for the electronics industry. Engineers at Foxconn define processes and train robots in this virtual environment to enable physical factories to efficiently produce the next generation of accelerated computing engines, the NVIDIA Blackwell HGX system.

3. NVIDIA significantly strengthens Ethernet networks for generative artificial intelligence: NVIDIA announced widespread adoption of the NVIDIA Spectrum-X Ethernet platform and will accelerate the release of new products. CoreWeave, GMO Internet Group, Lambda, Scaleway, STPX Global, and Yotta are the first batch of AI cloud service providers to adopt NVIDIA Spectrum-X, bringing ultimate network performance to their AI infrastructure.

Additionally, NVIDIA’s partners have also released products utilizing the Spectrum platform, including ASRock Rack, ASUS, GIGABYTE Technology, Ingrasys Inc., Inventec, Quanta Cloud Technology, Wistron and Wiwynn. Moreover, Dell Technologies, Hewlett Packard Enterprise, Lenovo, and Super Micro Computer have collaborated with NVIDIA to incorporate the Spectrum platform into their respective products.

  • Microservices

NVIDIA NIM has revolutionized deployment model: NVIDIA has announced that its inference microservice, NVIDIA NIM, optimized in container form, is now available for download by 28 million developers worldwide.

This allows deployment to cloud, data centers, or workstations, enabling developers to effortlessly build generative artificial intelligence applications for assisting partners, such as copilots and chatbots, within minutes, a process that previously took several weeks.

  • Industrial Digitalization

1. Electronics manufacturers adopt NVIDIA AI and Omniverse to drive robotic factories and accelerate industrial digitization: NVIDIA announced that major Taiwanese electronics manufacturers, including Delta Electronics, Foxconn, Pegatron Corporation, and Wistron Corporation, are using NVIDIA’s technology to transform their factories into more autonomous production facilities through new reference workflows.

This workflow combines NVIDIA Metropolis visual artificial intelligence (AI) technology, NVIDIA Omniverse’ physically accurate rendering and simulation technology, and NVIDIA Isaac’s AI robot development and deployment technology.

2. Industry leaders adopt NVIDIA’s robotic technology to develop tens of millions of AI-supported autonomous machines, including BYD Electronics, Siemens, Teradyne Robotics, and Alphabet’s Intrinsic, among more than ten global leading companies in the robotics industry.

These companies integrate NVIDIA Isaac acceleration libraries, physically principled simulation content, and AI models into their software frameworks and robot models to enhance efficiency in factories, warehouses, and distribution centers. This enables human colleagues to work in safer environments and serves as intelligent assistants in executing repetitive or ultra-precise tasks.

3. NVIDIA introduces NVIDIA IGX with Holoscan support, enabling enterprise software to run medical, industrial, and scientific artificial intelligence applications in real-time at the edge: To meet the growing demand for real-time artificial intelligence computing technology at the industrial edge, NVIDIA announces the comprehensive launch of NVIDIA AI Enterprise-IGX software with Holoscan on the NVIDIA IGX platform.

  • Consumer Devices

1. NVIDIA utilizes GeForce RTX AI PC to deliver the real AI assistant experience: NVIDIA announces the launch of the new NVIDIA RTX technology, designed to support AI assistants and digital human platforms running on new GeForce RTX AI laptops.

2. NVIDIA introduces Digital Human Microservices to lay the foundation for future generative AI digital avatars: NVIDIA announces the comprehensive rollout of NVIDIA ACE generative artificial intelligence microservices to accelerate the development of the next wave of digital humans and numerous breakthroughs in generative AI soon to be introduced on the platform.

Companies in customer service, gaming, and healthcare sectors are among the first to adopt ACE technology, making it easier to create, personalize, and interact with realistic digital humans. These microservices have broad applications in customer service, telehealth, gaming, and entertainment.

Read more

(Photo credit: NVIDIA)

Please note that this article cites information from TechNews and NVIDIA.

  • Page 105
  • 388 page(s)
  • 1938 result(s)

Get in touch with us