Articles


2024-04-30

[News] Semiconductor Giants Continue to Invest in EUV Lithography Machine

As the competition in advanced semiconductor chip manufacturing industry heats up, EUV lithography machine has been sought after by the market, attracting great attention across the industry.

Currently, Intel has taken the lead by purchasing ASML’s High-NA EUV lithography equipment and announced the completion of assembly recently. Samsung is also stepping up its efforts by partnering with Zeiss, a supplier of components for ASML’s EUV lithography machine, to deepen cooperation in the EUV field. At the same time, rumor has surfaced about the delivery of ASML’s second High-NA EUV lithography machine, though the buyer remains undisclosed.

  • Samsung and Zeiss to Deepen EUV-Related Cooperation

According to South Korean media The Korea Herald report, Jay Y. Lee, the vice chairman of Samsung Electronics, recently met with Karl Lamprecht, President and CEO of Zeiss, along with other company executives in Germany.

During the meeting, both parties agreed to expand cooperation in EUV technology and cutting-edge semiconductor equipment development to enhance their competitiveness in the foundry and memory chip sectors. Through this collaboration, Samsung aims to advance next-generation semiconductor technology, optimize chip manufacturing processes, and improve the yield of advanced chips.

Zeiss also plans to invest KRW 48 billion by 2026 to establish a research and development center in South Korea as a way to strengthen strategic cooperation with South Korean companies including Samsung.

As a developer of optical and optoelectronic solutions, Zeiss serves as the exclusive supplier of components for ASML’s EUV lithography machines, with each machine containing over 30,000 components made by Zeiss. Zeiss holds more than 2,000 core patents about EUV technology, and its expertise can make a significant difference in the production of high-performance advanced chips.

In the future, Samsung Electronics and Zeiss will further expand their cooperation in EUV technology and related fields of advanced semiconductor equipment.

  • ASML has Delivered the Second High NA EUV Lithography Machine?

Recently, Intel announced it has completed installation of the ASML High NA EUV lithography machine, which has now entered the optical system calibration phase. This represents the first High NA EUV lithography machine produced by ASML, valued at up to EUR 350 million. Intel plans to use this equipment to produce advanced process chips below 1.8nm.

In addition to Intel, companies such as TSMC, Samsung, and Micron have also placed orders for the High NA EUV lithography machine from ASML. ASML’s financial report for the first quarter of this year revealed a total of EUR 3.6 billion order intakes, with EURO 656 million from EUV lithography machine orders. ASML recently delivered its second equipment without revelation of the buyer.

The two High NA EUV lithography machines are insufficient to meet the market demand for advanced process chips. Therefore, ASML plans to manufacture more high-end lithography equipment in the future to address the continuously growing market demand.

Read more

(Photo credit: ASML)

Please note that this article cites information from DRAMeXchange and The Korea Herald.

2024-04-30

[News] Intel’s Advanced Packaging Capacity Tightens, Affecting its AI PC Processor Supply in Q2

Per a report from TechNews, during Intel’s earnings call last week, CEO Pat Gelsinger stated that the supply of Core Ultra processors in the second quarter is limited due to insufficient wafer-level assembly capacity.

Gelsinger mentioned in the meeting that with the increasing demand for AI PCs and customers continually adding processor orders to Intel due to Windows update cycles, Intel’s AI PC CPU shipments for 2024 are expected to surpass the originally set target of 40 million units. In response, Intel is actively ramping up production to meet customer demand, with the current supply bottleneck primarily concentrated in the backend wafer-level assembly.

Wafer-level assembly is a technology where packaging is done on wafers before they are cut into chips, widely utilized in processors like Meteor Lake and future Core Ultra processors. However, in the face of overwhelming demand, this production bottleneck has led Intel’s Consumer Computing Division to anticipate second-quarter revenue to be roughly equivalent to that of the first quarter, around USD 7.5 billion.

To address this issue, Intel is actively enhancing its wafer-level assembly capacity to meet the growing orders. It is expected that the current tight situation will be alleviated in the second half of 2024, facilitating further revenue growth for the Consumer Computing Division.

As per previous report by Economic Daily News, Intel has advanced packaging capacity in Oregon and New Mexico in the United States and is actively expanding its advanced packaging capabilities in its new facility in Penang. It is noteworthy that Intel once stated its intention to offer customers the option to only use its advanced packaging solutions, expected to provide customers with greater production flexibility.

Read more

(Photo credit: Intel)

Please note that this article cites information from TechNewsIntel and Economic Daily News.

2024-04-29

[News] Strengthening Controls on Semiconductor Equipment Exports to China, Japan Reportedly Tightens Export Control Measures Further

Japan is reportedly planning to expand export restrictions on four technologies related to semiconductors or quantum computing, as per a report from Bloomberg. This move is said to represent the latest initiative in global efforts to control the transfer of strategic technologies.

The same report indicates that Japan’s new measures will affect the export of scanning electron microscopes used for analyzing nano-particle images, as well as the technology for improving semiconductor design known as Fully Depleted Silicon on Insulator (FD-SOI) technology. Japan will also require licenses for the low-temperature CMOS circuits used in quantum computers, as well as for the outputs of quantum computers themselves. These restrictions apply to Japan’s most significant trading partners, including South Korea, Singapore, and Taiwan.

The Japanese Ministry of Economy, Trade, and Industry recently stated that the purpose of this plan is to better regulate the export of components for military purposes and to align with similar initiatives around the world. Reportedly, the Ministry emphasized that after public consultations ending on May 25th, while this plan is expected to take effect as early as July.

In fact, in 2023, Japan expanded export restrictions on 23 types of cutting-edge semiconductor manufacturing technologies. The implementation of these controls followed after the United States restricted China’s access to crucial semiconductor fabrication technologies. At that time, reportedly, Washington officials lobbied international partners such as Japan and the Netherlands to impose trade sanctions on China, aligning with the U.S. view of China as a geopolitical and potential military competitor.

Export controls chief Alan Estevez, as reported by Reuters during an annual conference, emphasized the importance of discussions with allies regarding key component servicing. He mentioned ongoing efforts to assess which components require servicing, hinting at the US’s reluctance to impose restrictions on non-core components that Chinese firms can repair independently.

Since then, the US has reportedly been urging allies such as the Netherlands, Germany, South Korea, and Japan, urging them to further tighten restrictions on China’s access to advanced chip technology.

According to a previous report from Nikkei News, the U.S. government initiated semiconductor export controls in various fields, including manufacturing equipment, in October 2022. This decision stems from the belief that semiconductors, which play a crucial role in new-generation technologies such as AI and autonomous driving, are strategic commodities directly related to national power.

Consequently, the U.S. government requested further cooperation from Japan and the Netherlands, leading to both countries strengthening their controls in 2023. However, despite these measures, exports of related products, excluding those under control, to China are sharply increasing. Therefore, the U.S. government believes it is necessary to urge Japan and the Netherlands, which have advantages in semiconductor manufacturing equipment, to take further actions.

Currently, manufacturing equipment required for advanced semiconductors with range of 10 to 14 nanometers and below are subject to export control restrictions. The United States is pushing to expand regulations to include certain equipment for what are known as general-purpose semiconductors.

This request is believed to potentially encompass exposure equipment used on silicon wafers, as well as etching equipment for three-dimensional stacking in. Among Japanese companies, Nikon and Tokyo Electron possess advanced capabilities in this field.

The same report from Nikkei News further notes that the restrictions also extend to materials related to Shin-Etsu Chemical Industries, such as photosensitive materials, and demand restrictions on exports to China. Additionally, the United States is preparing to request that the Netherlands cease providing maintenance and services for manufacturing equipment sold to China before the 2023 regulations. The strengthened control will also have a certain impact on allied countries.

Currently, Dutch company ASML is believed to still be providing such services to Chinese buyers. Per ASML’s financial report, during Q1, machine revenue from the Chinese market increased significantly from the previous quarter’s 39% to 49%.

Read more

(Photo credit: ASML)

Please note that this article cites information from Bloomberg, Reuters and Nikkei News.

2024-04-29

[News] Overseas Expansion of Testing and Packaging Facilities – Japan, Malaysia, and Singapore Emerge as Top Choices

As the global semiconductor landscape undergoes restructuring, major packaging and testing companies are actively establishing overseas advanced packaging capacities. According to a report from Commercial Times, semiconductor industry sources have indicated that, in terms of the clustering effect within the semiconductor industry, the primary targets currently include Japan, Malaysia, and Singapore.

Industry sources cited by the same report have pointed out that the global top ten packaging and testing companies are dominated by Taiwan, China, and the United States. Taiwan holds the lead with five industry giants including ASE Group, Powertech Technology, King Yuan Electronics CO. (KYEC), Chipbond Technology, ChipMos and Sigurd.

China boasts four key players such as Jiangsu Changjiang Electronics Technology Co., Tongfu Microelectronics, and Huatian Technology Co. Meanwhile, the United States is represented by Amkor, the world’s second-largest in scale. Japan’s pursuit of rebuilding the packaging and testing industry through a foundry model and seeking support from Taiwanese companies can be seen as a logical progression.

Given that nine out of the top ten packaging and testing companies are located in the Asia-Pacific region, the strategic positioning in Asia is particularly notable, with Japan, Malaysia, and Singapore all striving to make their mark.

Industry sources cited by the same report point out that Malaysia has been developing its semiconductor industry for decades, with Penang being a prominent semiconductor hub. Not only does Penang boast technological advantages, but it is also dubbed the “Silicon Valley of the East.”

As companies like TSMC, Samsung, and Intel expand their fabs to locations such as the United States and Europe, the downstream semiconductor testing and packaging activities are gradually forming clusters in Malaysia. This includes ASE Group’s significant investment in building a new testing and packaging facility in Penang, scheduled for completion in 2025.

Intel is also planning to establish advanced packaging facilities in both Penang and Kedah. Additionally, Texas Instruments from the United States has announced plans to build semiconductor testing and packaging facilities in Kuala Lumpur and Malacca.

While Malaysia’s testing and packaging sector has become a hub, industry sources cited by the report point out that despite many countries aggressively building their semiconductor industry chains, Japan is seen as the country, outside of Taiwan, with the most comprehensive semiconductor supply chain in the future, due to factors such as cultural traits, industrial development experience, geographical proximity to Taiwan, and long-standing close cooperation.

TrendForce has previously reported that Japan’s resurgence in the semiconductor arena is palpable, with the Ministry of Economy, Trade, and Industry fostering multi-faceted collaborations with the private sector. With a favorable exchange rate policy aiding factory construction and investments, the future looks bright for exports.

With Japan rapidly catching up in development, it becomes necessary for companies like ASE Group to strengthen their presence in Japan. The sources cited by the report are optimistic that Taiwanese-owned testing and packaging facilities may follow suit.

Recently, Powertech Technology Inc., Taiwan’s testing and packaging company, expressed openness to exploring opportunities in Japan, including seeking subsidies from the Japanese government, following the model set by TSMC.

Singapore is also actively strengthening its semiconductor industry chain. Per official Singaporean data, out of the 15 world-class chip design companies, 9 have established bases in Singapore. Additionally, there are 14 semiconductor fabs and 20 semiconductor assembly and testing facilities.

Coupled with the nearby established backend testing clusters in Malaysia, if Singapore constructs a more complete industry chain, it is poised to attract even more world-class testing and packaging companies to establish their presence there.

Read more

Please note that this article cites information from Commercial Times.

2024-04-29

[News] Following TSMC, ASE Reportedly Plans to Establish Plant in Kumamoto

Following TSMC’s announcement of investing USD 20 billion to build two plants in Kumamoto, Japan, industry sources cited by a report from Commercial Times has indicated that the major global semiconductor assembly and testing provider, ASE Group, is in discussions with the Japanese government to finalize subsidies and investment details.

Reportedly, ASE plans to invest nearly NTD 10 billion (roughly USD 306.3 million) to construct its first advanced packaging plant in Kumamoto, becoming the second Taiwanese semiconductor giant to set foot in the region.

Regarding the establishment of a plant in Kumamoto, ASE Group stated that it does not comment on market rumors.

During its earnings call last week, ASE Group announced a capital expenditure increase to expand related capacities due to the upward adjustment in advanced packaging projects. This year’s capital expenditure, originally estimated at around USD 2.1 billion with a year-on-year increase of over 40%, has been raised to a potential 50% increase (up to USD 2.25 billion), potentially reaching a historic high.

The semiconductor industry is witnessing a great era of global competition with various countries pouring money into subsidies. Recently, there have been rumors of the Japanese government actively reaching out to Taiwanese semiconductor companies and offering substantial subsidies, aiming to build a complete semiconductor industry chain covering upstream, midstream, and downstream sectors.

Apart from TSMC’s decision to establish two advanced semiconductor plants in Kumamoto, Intel is also considering establishing an advanced packaging research institution in Japan, and Samsung is planning to set up advanced packaging research facilities in Yokohama.

Industry sources cited by the same report point out that these signs have indicated that after mastering wafer manufacturing technology, the next phase for Japan is to enhance the establishment of the packaging industry.

Industry rumors have recently circulated that the Japanese government has been in discussions with senior executives from ASE Group for some time, and the relevant subsidy and investment details are generally agreed upon. The location for the new facility is expected to be in Kumamoto, near TSMC’s upcoming plant. As per the same report citing sources, there is a chance that ASE’s Kumamoto facility, like TSMC’s second plant in Kumamoto, will be planned to start production before the end of 2027.

In fact, as early as 2004, ASE Group acquired full ownership of an IC packaging and testing facility in Yamagata Prefecture, Japan, from NEC for USD 80 million. However, over the past two decades, Japan’s influence in the global semiconductor sector has waned, and ASE’s acquisition of the NEC facility has not made significant operational contributions.

ASE Group’s global footprint currently includes high-end product bases in Taiwan, as well as its packaging and testing capacities in China, Japan, Malaysia, South Korea, and Singapore.

ASE is continuing its expansion efforts in Taiwan, including Kaohsiung, Zhongli, and Tanzi. Evenmore, on February 22nd, ASE Group and semiconductor giant Infineon Technologies jointly announced the finalization of an agreement. ASE Group will invest EUR 62.589 million to acquire Infineon’s backend packaging facilities located in Cavite, Philippines, and Cheonan, South Korea.

Read more

Please note that this article cites information from Commercial Times.

  • Page 135
  • 389 page(s)
  • 1943 result(s)

Get in touch with us