18A


2024-09-18

[News] Intel’s Foundry Spin-off Could Improve Chances of Securing Orders from Tech Giants such as Apple and AMD

One of the most critical moves of Intel’s next step, regarded by CEO Pat Gelsinger as “the most significant transformation in over four decades,” is turning its foundry business into an independent subsidiary. Citing remarks from foreign media and analysts, a report by Taiwanese media outlet Anue notes that this is a much-needed temporary measure aimed at gaining the trust of potential customers, who may hesitate to entrust their chip designs to a competitor’s foundry division.

Following last week’s board meeting, Intel announced on September 16th that the company will transform its foundry business into a wholly-owned subsidiary with its own board of directors.

It is worth noting that in the meantime, Intel signed a multi-billion-dollar, multi-year agreement with Amazon to produce certain chips for Amazon Web Services’ (AWS) AI data centers.

The Two tech giants will co-develop AWS’ next-gen AI fabric chips on Intel 18A, which signals a good start for Intel. Additionally, Intel is developing customized Xeon 6 server chips for AWS.

Regarding Intel’s plan on carving out its foundry business, citing comments from foreign analysts, the report by Anue states that the move could help Intel in having a better chance of attracting tech heavyweights, such as Apple, Qualcomm, Broadcom, and even AMD.

Here is why: if the new company appears as an independent entity and if it has the right board members, the foundry business could progress more smoothly, the report suggests. This move should help alleviate concerns from potential customers, but its effectiveness will yet be proven through execution.

The report added that if Intel’s collaboration with Amazon goes well, it could potentially manufacture other Amazon chips in the future, such as AWS Graviton processors and Trainium AI training chips used for machine learning.

Intel has failed to attract a significant number of clients for its foundry business, with Microsoft being its largest customer to date, the report notes.

Two years ago, the struggling giant lost the contract to design and manufacture chips for Sony’s next-generation PlayStation 6, dealing a major blow to its efforts to establish its nascent foundry business.

In its own words, the move in terms of the new subsidiary structure will provide greater separation and independence for Intel’s external foundry customers and suppliers from Intel’s other divisions. Importantly, it also gives the company the flexibility to evaluate independent funding sources in the future and optimize the capital structure of each business to maximize growth and create shareholder value.

Read more

(Photo credit: Intel)

 

 

Please note that this article cites information from Anue and Intel.
2024-09-06

[News] Amidst Rumors of Germany Plant Delay, Intel’s Penang Project Also Reportedly on Hold

According to Reuters citing sources, Intel, facing a critical survival crisis, has temporarily halted its new chip packaging and testing project in Penang.

Malaysian media outlet The Star, citing informed sources, reported that Intel will temporarily halt its new chip packaging and testing project in Penang as part of cost-cutting efforts. However, the operations of existing facilities will remain unaffected.

The U.S. chip giant had announced three years ago that it would invest approximately USD 7 billion to build new chip packaging and testing facilities in Malaysia, looking to make it its largest overseas packaging and testing base.

Facing what is described as the most challenging period in its 56-year history, Intel is making drastic survival moves, including suspending dividend payments and laying off 15% of its global workforce to significantly reduce expenses.

Per The Star, Intel employs around 14,000 people in Malaysia, meaning over 2,000 local employees may face the risk of job loss.

Three weeks ago, Penang Chief Minister Chow Kon Yeow stated that Intel would continue its expansion plans in Penang, though he admitted that Intel’s USD 10 billion cost-cutting initiative would inevitably impact its operations in the region.

The Star cited sources, pointing out that Intel has been reassessing its investment projects in Malaysia. While construction at its new facility in Penang is still ongoing, the number of workers has been reduced.

Read more

(Photo credit: Intel)

Please note that this article cites information from ReutersThe Star and Intel.

2024-09-05

[News] Intel’s 18A Reportedly Runs into Trouble with Broadcom, while 20A Plan on Arrow Lake Cancelled

Disappointing financial results. A 15% layoff of its workforce. Restructuring and cost-reduction plans which may include the sale of FPGA unit Altera and freezing its USD 32 billion German fab project. Now, there seems to be more bad news on the way for Intel, as its advanced nodes, specifically 18A and 20A, reportedly run into trouble.

Broadcom Regards 18A Not Ready for High-volume Production

According to Reuters and The Verge, Broadcom’s initial tests with Intel’s 18A (1.8nm-class) process did not meet expectations, creating additional pressure on the semiconductor giant’s efforts to catch up with TSMC in the foundry sector. The reports note that Broadcom tested Intel’s 18A by producing wafers with typical design patterns. However, its engineers and executives were said to be disappointed with the results, regarding the process as “not ready for high-volume production.”

A Broadcom spokesperson informed Reuters that the company has not yet completed its evaluation of Intel’s 18A, indicating that the assessment is still in progress.

The 18A node plays a crucial role in Intel’s roadmap, as it has been working on the process for years, targeting to begin mass production next year, with major clients including Microsoft, according to the Verge.

However, another report from Tom’s Hardware also suggests that a defect density below 0.5 defects per square centimeter is typically seen as a positive outcome, which Intel may have already accomplished. Citing CEO Pat Gelsinger’s previous remarks, the report notes that Intel is now below 0.4 d0 defect density, which can be considered a healthy process.

20 A Cancelled: Not a Bad Idea for Cost-reduction?

Another latest bad news, though, is that Intel announced that it will no longer use its own 20A process for the upcoming Arrow Lake processors aimed at the consumer market. In its own words, the Arrow Lake processor family will be built primarily using external partners and packaged by Intel Foundry.

The unexpected move, according to Intel, is made in order to focus resources on Intel 18A, helping the company to optimize its engineering investments.

The strategy might not be a bad idea amid Intel’s crisis, as the bypass of the 20A process altogether can help avoiding the significant capital expenditures needed to scale the node to full production, a report by Tom’s Hardware notes. By sidestepping the typically high costs associated with ramping up a new and advanced node like 20A, the company will likely make progress toward its cost-cutting objectives. The order of Arrow Lake, though, might possibly go to TSMC, the report indicates.

Read more

(Photo credit: Intel)

Please note that this article cites information from Reuters, The Verge, Tom’s Hardware and Intel.
2024-08-07

[News] Intel’s 18A to Start Production in 2025, Featuring Processors for AI PCs and Servers

While surrounded by concerns raised by the USD 1.6 billion net loss in Q2 and the large-scale layoff plan, Intel has finally shared some good news. It announced on August 6th that its next-gen 18A process has achieved a major milestone, and will start production in 2025.

The semiconductor giant states that the milestone has been achieved less than two quarters after tape-out, and confirms that two of its next-gen products, Panther Lake (AI PC client processor) and Clearwater Forest (server processor), will be fabricated with the node. The first external customer is expected to tape out on Intel 18A in the first half of next year, according to its press release.

The company also gives an advance notice on the progress of the aforementioned two processors. According to Intel, Clearwater Forest will mark the industry’s first mass-produced, high-performance solution combining RibbonFET, PowerVia, and Foveros Direct 3D for higher density and power handling. In addition, Panther Lake DDR memory performance is already running at target frequency.

Earlier in July, Intel released the 18A Process Design Kit (PDK) 1.0, design tools that enable foundry customers to harness the capabilities of RibbonFET gate-all-around transistor architecture and PowerVia backside power delivery in their designs on Intel 18A.

It is worth noting that Intel’s 18A is the company’s second fabrication technology, following 20A, to employ RibbonFET and PowerVia. A report by Tom’s Hardware notes that compared to Intel’s 2nm-class node, 18A offers an optimized RibbonFET design and additional enhancements, resulting in a 10% increase in performance per watt, which makes it especially fitted for data center-class products that require significant power.

The report also notes that Intel 18A is a process that Intel Foundry’s potential customers are very interested in, as some believed it to be more competitive than TSMC’s 3nm and 2nm-class offerings, which are expected to be available between 2024 and 2025.

On the other hand, TSMC, the global foundry leader, said earlier in the earnings call that it’s 2nm (N2) node is progressing well, and will begin mass production in 2025. The company is also on track to launch the N2P and A16 processes in the second half of 2026.

Read more

(Photo credit: Intel)

Please note that this article cites information from Intel and Tom’s Hardware.
  • Page 1
  • 1 page(s)
  • 4 result(s)

Get in touch with us