EUV


2023-12-14

[News] Global Photoresist Prices Are on the Rise, Posing Challenges for the Semiconductor Industry

Due to escalating raw material and labor expenses, The Elec reported that Dongwoo Fine-Chemistry, a subsidiary of Japan’s Sumitomo Chemical, plans to increase the prices of KrF and L-line photoresists for South Korean semiconductor companies. Price increases vary depending on the type of offering, ranging from around 10% to 20%.

As a pivotal material in semiconductor manufacturing, photoresist leverages photochemical reactions and undergoes processes like exposure and development through photolithography. This facilitates the transfer of intricate patterns from the reticle to the processing substrate. The KrF photoresist highlighted in this report represents a high-end variant, marking a significant competitive market for future players.

The photoresist market has been dominated by several major manufacturers such as Tokyo Ohka Kogyo, DuPont, JSR, Shin-Etsu Chemical, Sumitomo Chemical, and Dongjin Semichem. This market concentration is particularly pronounced in the market segment for semiconductor photoresist.

The photoresist industry demands high specialization, involving intricate formulations of resins, photosensitive acids, and additives—guarded as trade secrets by each company. The substantial technological barrier, coupled with the necessity for purity and performance from laboratory trials to market production, makes the entire product development process time-consuming and intricate. Additionally, meeting customer requirements and adaptation of the production line requires 1 to 3 years of validation, making it challenging for the customers to shift from current photoresist companies.

Faced with technological and customer-centric challenges, photoresist companies wield substantial negotiating power. The recent uptick in photoresist prices has prompted a noteworthy response from the South Korean semiconductor industry.

Insiders from the foundries commented, “In the face of rising photoresist prices, foundries have little choice but to pass on some of the costs to customers (fabless companies).” They further noted, “The price increase in Dongwoo Fine-Chemistry’s photoresist may contribute to a decline in the profitability of foundries and the fabless industry.”

Please note that this article cites information from The Elec

(Image: Dongwoo Fine-Chemistry)

2023-12-11

[News] European Silicon Isle Boosts Intel, Closing in on TSMC

According to ChinaTimes’ report, Intel’s strides in European chip manufacturing are narrowing the gap with TSMC.

The Fab 34 in Ireland has taken a significant step in production using EUV for the first time, with Intel 4 technology equivalent to the original 7nm. The research firm IC Knowledge has once assessed that Intel 4 is ahead of TSMC’s 5nm process, emphasizing energy efficiency, which makes it more suitable for mobile devices.

Industry sources note that the upcoming Meteor Lake CPU will adopt the Intel 4 process, and Intel 3 is planned for release by the end of the year. With Intel’s Ireland facility entering mass production, it significantly reduces the gap with TSMC.

Meteor Lake is poised to become the first processor utilizing Intel 4 fabrication technology, marking a milestone as the inaugural process to support EUV lithography exposure.

While the Compute tile is manufactured using Intel 4, the Graphic tile, SoC tile, and IO tile are completed using TSMC’s 5/6nm process. Industry source suggests that TSMC still maintains superior yield rates and more advantageous production costs.

Intel is establishing an advanced semiconductor manufacturing value chain in Europe. Fab 34 in Leixlip, Ireland, is operational, and there are plans to build a fab in Magdeburg, Germany, and an assembly testing facility in Wroclaw, Poland. This positions Intel ahead of TSMC in global layout.

Intel aims to regain a leading position in process technology by 2025, and will receive the industry’s first set of High-NA EUV lithography exposure equipment by the end of the year. The “Intel 3” will kick off vigorously. As Moore’s Law reaches its limits, TSMC, as a frontrunner, will face a gradually narrowing gap with competitors.

Read more

(Photo credit: Intel)

Please note that this article cites information from China Times.

2023-11-23

[News] EUV as a Strategic Asset in the Most Advanced Processes: Progress in Intel/TSMC/Samsung’s Adoptions

Equipment is playing an indispensable role during the wafer manufacturing process. In response to market needs, the global EUV lithography supplier, ASML, has recently taken significant steps.

ASML’s Bold Move: Annual Investment of EUR 100 Million in Berlin Plant

As reported by the German media “Handelsblatt,” the Netherlands-based company ASML plans to invest EUR 100 million (USD 109 million) in 2023, with a similar annual investment in the subsequent years. This investment aims to enhance the production and development capabilities of ASML’s manufacturing plant located in Berlin, Germany.

Reports indicate that ASML’s Berlin plant primarily produced core components of EUV equipment, including wafer clamps, wafer tables, reticle chucks and mirror blocks. ASML acquired this facility, known as “Berliner Glas,” in 2020.

Foundries Actively Pursue EUV equipment

The EUV equipment plays a crucial role in manufacturing, utilizing specific wavelength light for radiation to precisely imprint images on wafers. Currently, the EUV equipment market is highly concentrated, with only a few global companies mastering this technology. Among them, Dutch company ASML stands out as the world’s largest and most advanced EUV company. Additionally, companies like Nikon, Canon, and Shanghai Micro Electronics Equipment (SMEE) are strategically positioning themselves in the EUV sector.

EUV technology, used for exposing semiconductor process, is indispensable due to its high cost, complex processes, and limited supply. ASML is the sole global supplier of EUV. For advanced processes below 7nm, EUV serves as an essential device. Developed over more than 20 years, EUV technology has become the cornerstone of advanced processes, enabling the continuation of Moore’s Law for at least another decade.

As a crucial EUV equipment supplier, ASML is working on a new generation of NA-EUV equipment, where “NA” represents numerical aperture. A higher NA value means a higher achievable resolution, allowing for more transistors on the chip. It is expected that by the year-end, ASML will unveil the world’s first high-NA EUV and deliver it to Intel.

Currently, both TSMC and Samsung utilize EUV equipment for manufacturing, covering TSMC’s 7nm, 5nm, and 3nm processes and Samsung’s EUV Line (7nm, 5nm, and 4nm) located in Hwaseong, Korea, along with the 3nm GAA process.

TSMC’s 2nm process will continue to leverage EUV technology. In a previous announcement in September, TSMC disclosed the acquisition of Intel’s subsidiary IMS for up to US 432.8 million, focusing on the research and production of electron beam lithography machines. Industry experts believe that TSMC’s move ensures the technical development of critical equipment and meets the supply demand for the commercialization of 2nm.

Following 2nm chips. Samsung plans to achieve mass production of 2nm processes in the mobile field by 2025, expanding to HPC and automotive electronics in 2026 and 2027, respectively. According to the report in September, Samsung is gearing up to secure the yield of the next-generation EUV equipment, High-NA, with the prototype expected to launch later this year and official supply next year.

After announcing its return to the foundry business, Intel revealed in October that it has commenced mass production of Intel 4 process nodes using EUV technology. Currently, both Intel 7 and Intel 4 have achieved mass production, and Intel 3 is progressing according to plan, with the goal of completion by the end of 2023.

(Image: ASML)

2022-02-15

[Russia-Ukraine] The Conflict Affects Semiconductor Gas Supply and May Cause Rise in Chip Production Costs, Says TrendForce

Ukraine is a major supplier of raw material gases for semiconductors including neon, argon, krypton, and xenon, according to TrendForce’s investigations. Ukraine supplies nearly 70% of the world’s neon gas capacity. Although the proportion of neon gas used in semiconductor processes is not as high as in other industries, it is still a necessary resource. If the supply of materials is cut off, there will be an impact on the industry. TrendForce believes that, although the Ukrainian-Russian conflict may affect the supply of inert gas regionally, semiconductor factories and gas suppliers are stocked and there are still supplies from other regions. Thus, gas production line interruptions in Ukraine will not halt semiconductor production lines in the short term. However, the reduction in gas supply will likely lead to higher prices which may increase the cost of wafer production.

Inert gases are primarily used in semiconductor lithography processes. When the circuit feature size is reduced to below 220nm, it begins to enter the territory of DUV (deep ultraviolet) light source excimer lasers. The wavelength of the DUV light generated by the energy beam advances circuit feature sizes to below 180nm. The inert gas mixture required in the DUV excimer laser contains neon gas. Neon gas is indispensable in this mixture and, thus, difficult to replace. The semiconductor lithography process that requires neon gas is primarily DUV exposure, and encompasses 8-inch wafer 180nm to 12-inch wafer 1Xnm nodes.

TrendForce research shows, in terms of foundries, global production capacity at the 180~1Xnm nodes accounts for approximately 75% of total capacity. Except for TSMC and Samsung, who provide advanced EUV processes, for most fabs, the proportion of revenue attributed to the 180~1Xnm nodes exceeds 90%. In addition, the manufacturing processes of components in extreme short supply since 2020, including PMIC, Wi-Fi, RFIC, and MCU all fall within the 180~1Xnm node range. In terms of DRAM, in addition to Micron, Korean manufacturers are gradually increasing the proportion of 1alpha nm nodes (using the EUV process) but more than 90% of production capacity still employs the DUV process.  In addition, all NAND Flash capacity utilizes DUV lithography technology.

For more information on reports and market data from TrendForce’s Department of Semiconductor Research, please click here, or email Ms. Latte Chung from the Sales Department at lattechung@trendforce.com

2022-01-05

Fire at ASML’s Berlin Plant May Impact EUV Optical Component Supply, Says TrendForce

A fire occurred at ASML’s factory in Berlin, Germany on January 3, according to TrendForce’s investigations. ASML is the largest supplier of key equipment (including EUV and DUV) required for foundry and memory production. According to TrendForce’s preliminary inquiry, approximately 200m2 out of a factory floor covering 32,000m2 was affected by the fire. This factory primarily manufactures optical components used in lithography systems such as wafer tables, reticle chucks, and mirror blocks. Reticle chucks used for affixing photomasks are in short supply. Currently, the majority of components produced at this factory go towards supplying EUV machines while the lion’s share of demand for these products come from foundries. If the fire delays component delivery, it cannot be ruled out that ASML will prioritize the allocation of output towards fulfilling foundry orders.

Lead time for this exclusive supply of key EUV machines has been long and may affect the timeframe of advanced manufacturing process transition  

In terms of foundries, EUV is primarily used in advanced manufacturing processes smaller than the 7nm node. Currently, the only companies in the world using this equipment for manufacturing are TSMC and Samsung including TSMC’s 7nm, 5nm, 3nm nodes, Samsung’s EUV Line (7nm, 5nm and 4nm) built in Hwaseong, South Korea, and 3nm GAA node. However, due to factors such as the shortage of global foundry production capacity and the active expansion of manufacturing, semiconductor equipment lead times are also stretching further into the future.

In terms of DRAM, Samsung and SK Hynix are already using EUV in their 1Znm and 1alpha nm processes, while US manufacturer Micron is expected to introduce EUV to their 1gamma nm process in 2024. According to TrendForce’s current information, the lead time on ASML EUV equipment is approximately 12 to 18 months. Due to this long equipment lead time, ASML is at liberty to wait for the completion of replace components for those lost in the fire during the time necessary for equipment assembly.

Overall, the ASML Berlin factory fire will have a greater impact on the manufacturing of EUV lithography equipment when it comes to foundries and memory. According to TrendForce’s information, it cannot be ruled out that ASML will obtain necessary components from other factory campuses. In addition, the current lead time for EUV equipment is quite long. Therefore, the actual impact on EUV supply remains to be seen.

For more information on reports and market data from TrendForce’s Department of Semiconductor Research, please click here, or email Ms. Latte Chung from the Sales Department at lattechung@trendforce.com

  • Page 2
  • 2 page(s)
  • 10 result(s)