Intel


2024-02-20

[News] Opening of TSMC Kumamoto Plant Nears, Yet Delay in Arizona Plant – Why is US Semiconductor Fab Construction Lagging Globally?

TSMC is scheduled to hold the opening ceremony for its Kumamoto plant on February 24. In contrast, the construction progress of its Arizona plant in the United States has been relatively slow.

According to TechNews citing a research report from the Center for Security and Emerging Technology (CSET), the construction speed of semiconductor plants in the United States is the slowest globally due to the intricate regulatory environment. While the U.S. chip law supports the semiconductor industry, it is insufficient to address construction costs and timelines.

Looking at the construction speed of the three major foundries in the United States, they have indeed all fallen behind their original targets. For instance, TSMC’s Arizona plant was delayed by a year, Intel’s Ohio plant was pushed from 2025 to the end of 2026, and Samsung’s Texas plant, due to not receiving chip bill subsidies, was also delayed to 2025.

As per research conducted by CSET on the construction of 635 semiconductor plants from 1990 to 2020, the average time from groundbreaking to production was 682 days globally. However, in the United States, the average was 736 days, significantly higher than the global average and second only to Southeast Asia’s 781 days.

In comparison, the construction speeds in Taiwan, South Korea, and Japan are 654 days, 620 days, and 584 days, respectively, with Japan’s performance being quite remarkable. As for Europe and the Middle East, the average is 690 days, while in China, it is 701 days.

The report further indicates that in the 1990s and 2000s, foundries in the United States had a relatively faster construction speed, with an average time of about 675 days. However, by the 2010s, this time frame extended to 918 days.

Meanwhile, during the same period, the construction speed in China and Taiwan significantly accelerated, with average completion times of 675 days and 642 days, respectively.

Furthermore, the number of foundries in the United States has been declining, from 55 in the 1990s to 43 in the 2000s and 22 in the 2010s. In contrast, the construction speed of foundries in China has significantly accelerated, from 14 in the 1990s to 75 in the 2000s, and further to 95 in the 2010s.

Although China’s semiconductor technology is still in the catch-up phase, the construction of foundries positions it as a dominant force in the industry.

Stringent Regulations in the United States Lead to Slow Factory Construction Despite Favorable Conditions 

The report highlights seven key requirements for foundry construction: Large plots of land, low seismic activity, stable water supply, stable supply of electricity, talent, transportation infrastructure, and nearby land for co-location with key suppliers.

In these aspects, the United States outperforms Taiwan; however, the primary obstacle is regulatory issues.

Due to the unique federal structure of the United States, foundry construction must comply with federal, state, and local regulations, resulting in an exceptionally complex regulatory process. Additionally, environmental policies pose obstacles to foundry construction, particularly due to stringent requirements for environmental protection

The report suggests that to enhance the United States’ competitiveness in the global semiconductor industry, the government needs to streamline regulatory processes, eliminate redundant regulations, and establish expedited pathways to accelerate semiconductor industry construction projects.

Additionally, there should be an acceleration of environmental review processes and investment in the development of alternative materials to ensure sustainable semiconductor material supplies.

With the continued growth in global semiconductor demand, the construction speed and efficiency of US semiconductor fabs will directly impact its position in the global market.

To maintain its leading position, per the report, the United States urgently needs to take action to address this issue. Currently, it is unclear how much impact the delayed construction of semiconductor fabs by TSMC, Intel, and Samsung will have.

Read more

(Photo credit: TSMC)

Please note that this article cites information from TechNews and CSET.

2024-02-17

[News] Financial Reports of Six Major Foundries Reveal Semiconductor Industry Recovery Status

The latest financial reports for 4Q23 from six leading global semiconductor foundries signal optimism for the semiconductor industry’s recovery in 2024.

In 2023, the semiconductor sector underwent significant adjustments. As the industry worked towards normalizing its inventory levels amidst ongoing high inflation risks, the short-term market outlook remained unclear. #TrendForce has analyzed the latest financials from these six foundries to provide insights into what 2024 might hold for the industry.

TSMC

TSMC reported a slight YoY revenue decrease of 1.5% to US$19.62 billion in 4Q23, though it saw a 13.6% increase from the previous quarter. With an anticipated CAGR of 15–20%, TSMC’s 2024 capital expenditures are expected to be between $28 billion and $32 billion.

The company forecasts more than 10% growth in the semiconductor market (excluding memory) and around 20% growth in the wafer fabrication sector for 2024.

Samsung Electronics

Samsung Electronics’ 4Q23 consolidated revenue fell 3.81% YoY to ₩67.78 trillion. Its DS division reported revenues of ₩21.69 trillion but faced an operating loss of ₩2.18 trillion.

Despite the challenges, Samsung is focusing on advancing 3nm and 2nm GAA process technologies, expecting a revival in smartphone and PC demand in 2024 to rejuvenate the foundry market to its former prosperity.

Intel

Intel’s 4Q23 earnings saw a 10% revenue increase to $15.406 billion, with its foundry business, Intel Foundry Services, jumping 63% to $291 million in revenue.

Despite seasonal demand slumps in its core PC and server segments, Intel’s AI chips have accumulated $2 billion in orders, with sales forecast to improve in the second half of the year.

Global Foundries

GlobalFoundries reported a 12% revenue drop in 4Q23 to $1.85 billion, with a net income of $356 million. The company anticipates 1Q24 revenues to range between $1.5 billion and $1.54 billion, primarily due to the current industry-wide chip inventory adjustments.

Nevertheless, GlobalFoundries expects its 2023 automotive market revenue to surpass $1 billion, forecasting continued growth into 2024.

UMC

UMC disclosed a 19% YoY decrease in 4Q23 revenues to $1.79 billion. The company cited an extended semiconductor industry inventory adjustment period due to a challenging global economic climate, leading to a slight reduction in wafer shipments and capacity utilization. UMC expects a gradual uptick in wafer demand through 1Q24.

SMIC

SMIC reported a modest increase in 4Q23 revenues to $1.68 billion, with a 0-2% growth projection for 1Q24. Despite last year’s cyclical lows and competitive pressures, SMIC anticipates its 2024 revenue growth will at least match the industry average, with capital expenditures mirroring those of 2023.

TrendForce had earlier forecasted a delayed recovery in the end-market by the fourth quarter of 2023. However, they noted that inventory stocking by Chinese Android firms for the year-end sales rush—particularly for mid-to-low-end 5G and 4G smartphone application processors—alongside the influence of new Apple iPhone releases, might surpass initial expectations.

This indicates that the revenues of the world’s top ten semiconductor foundries are poised for growth, potentially surpassing the growth rates observed in the third quarter.

(Photo credit: Samsung)

2024-02-01

[News] Intensified Competition in the Semiconductor Industry for 2nm Technology Dominance, Potentially Reshaping the Global Foundry Market

The competition for dominance in 2nm semiconductor technology has intensified at the beginning of 2024, marking a crucial battleground among global foundry companies.

As per a report from IJIWEI, major foundry enterprises such as Samsung Electronics, TSMC, and Intel are set to commence mass production adopting 2nm process starting this year. Consequently, the fierce competition for supremacy in 2nm technology is expected to escalate from 2025 onwards. Currently, the most advanced production technology globally is at the 3nm level.

  • TSMC

TSMC’s 2nm products will be manufactured at the Fab 20 in the Hsinchu Science Park in northern Taiwan and at a plant in Kaohsiung.

The Fab 20 facility is expected to begin receiving related equipment for 2nm production as early as April, with plans to transition to GAA (Gate-All-Around) technology from FinFET for 2nm mass production by 2025.

During TSMC’s earnings call on January 18th, TSMC revealed that its capital expenditure for this year is expected to fall between USD 28 billion and 32 billion, with the majority (70% to 80%) allocated to advanced processes. This figure is similar to that of 2023 (USD 30.4 billion), indicating stable investment to ensure its leading position in 2nm technology.

  • Intel

After announcing its re-entry into the foundry business, Intel is actively advancing its foundry construction efforts. The plan includes the introduction of the Intel 20A (equivalent to 2nm) process in the first half of 2024 and the Intel 18A (1.8nm) process in the second half of the year. It is understood that the Intel 18A process will commence test production as early as the first quarter of this year.

Intel’s 2nm roadmap is more ambitious than originally anticipated, being accelerated by over six months. In response to criticisms of its “overly ambitious” plans, Intel swiftly began procuring advanced Extreme Ultraviolet (EUV) equipment.

  • Samsung Electronics

Samsung Electronics has devised a strategy to gain an advantage in the more advanced process war through its Gate-All-Around (GAA) technology. Currently, it is mass-producing the first-generation 3nm process based on GAA (SF3E) and plans to commence mass production of the second-generation 3nm process this year, significantly enhancing performance and power efficiency.

Regarding the 2nm process, per a report from Nikkei, Samsung plans to start mass production for mobile devices in 2025 (SF2) and gradually expand to high-performance computing (HPC) in 2026 and automotive processes in 2027.

Currently, Samsung Electronics is producing GAA products for the 3nm process at its Hwaseong plant and plans to manufacture products for both the 3nm and 2nm processes at its Pyeongtaek facility in the future.

  • Rapidus

Rapidus, a chip manufacturing company supported by the Japanese government, is expected to trial-adopt 2nm process at its new plant by 2025 and begin mass production from 2027.

If Rapidus’ technology is validated, the global foundry market may expand beyond the Taiwan-Korea duopoly to include Taiwan, Korea, the United States, and Japan.

The technology competition to become a “game-changer” ultimately depends on the competition for customers. It’s rumored that TSMC holds a leading position in the 2nm field, with Apple speculated to be its first customer for the 2nm process. Graphics processing giant NVIDIA is also considered a major customer within TSMC’s client base.

According to TrendForce data as of the third quarter of 2023, TSMC’s revenue share accounted for a dominant 57.9%, with Samsung Electronics trailing at 12.4%, a gap of 45.5 percentage points.

However, Samsung Electronics is not sitting idly by. With continuous technological investment, Samsung’s foundry customer base grew to over 100 in 2022, a 2.4-fold increase from 2017. The company aims to expand this number to around 200 by 2028.

Particularly, Samsung’s early adoption of GAA technology is expected to give it an advantage in achieving early production volumes for advanced processes.

Read more

(Photo credit: TSMC)

Please note that this article cites information from IJIWEI and Nikkei.

2024-01-31

[News] NVIDIA Expands Advanced Packaging Supply Chain with Intel’s Inclusion, Diverting Orders from TSMC

NVIDIA’s AI chip supply faces constraints, with insufficient CoWoS advanced packaging production capacity at TSMC potentially being the main issue. According to Economic Daily News, NVIDIA is also providing advanced packaging services to Intel, with a monthly capacity of about 5,000 units. It is expected to join NVIDIA’s advanced packaging supply chain as early as the second quarter in 2024, grabbing a share of TSMC’s related orders.

Industry sources cited by the Economic Daily News believe that Intel’s participation will help alleviate the tight supply of AI chips.

TSMC declined to comment on the rumors on January 30th. As per industry sources cited by Economic Daily News, Intel’s entry into NVIDIA’s advanced packaging supply chain is expected to lead to a significant increase of nearly ten percent in total production capacity.

As per industry analysis cited in the report, even with Intel joining to provide advanced packaging capacity for NVIDIA, TSMC remains NVIDIA’s primary supplier for advanced packaging. When considering the expanded production capacity of TSMC and other related assembly and testing partners, it is estimated that they will supply approximately 90% of advanced packaging capacity for NVIDIA.

Supply chain sources cited by the report further indicate that TSMC is ramping up its advanced packaging production capacity. Production capacity is estimated to increase to nearly 50,000 units in the first quarter of this year, representing a 25% increase from the estimated nearly 40,000 units in December last year.

While Intel may potentially provide NVIDIA with nearly 5,000 units of advanced packaging capacity, this accounts for about 10% of the total. However, Intel is reportedly not involved in NVIDIA’s AI chip foundry orders.

Intel has advanced packaging capacity in Oregon and New Mexico in the United States and is actively expanding its advanced packaging capabilities in its new facility in Penang. It is noteworthy that Intel previously stated its intention to offer customers the option to only use its advanced packaging solutions, expected to provide customers with greater production flexibility.

Industry sources also indicate that the previous shortage of AI chips stemmed from three main factors: insufficient capacity in advanced packaging, tight supply of high-bandwidth memory (HBM3), and some cloud service providers placing duplicate orders. However, these bottlenecks have gradually been resolved, and the improvement rate is better than expected.

(Photo credit: Intel)

Read more

Please note that this article cites information from Economic Daily News.

2024-01-30

[News] Intel, SK Hynix, and NTT Japan Collaborate to Develop Next-Generation Silicon Photonics Technology

Japanese telecommunications operator NTT is reportedly collaborating with American chipmaker Intel and other semiconductor manufacturers to research large-scale production of next-generation semiconductor technology, which involves significantly reducing power consumption using optical technology.

According to a report from Nikkei, SK Hynix is also set to participate in this initiative, expected to counter China through collaborative research and development strategies.

Meanwhile, the Japanese government will provide approximately JPY 45 billion in support. As cited by Nikkei quoting Japan’s Ministry of Economy, Trade, and Industry, Japan can lead the world in this technology as part of its strategy to revitalize the national semiconductor industry.

These companies are reportedly aiming to develop equipment manufacturing technology that integrates light with semiconductors and memory technology capable of storing data at Terabit-class speeds by the fiscal year 2027. Intel will provide technical development suggestions, aiming to reduce power consumption by 30-40% compared to conventional products.

As semiconductor scaling reaches physical limits, as per a report from TechNews, the industry is turning towards light. When combined with semiconductors, known as silicon photonics, it is expected to significantly reduce energy consumption. This technology is also seen as potentially game-changing for the semiconductor industry.

Signals received through optical communication is converted into electrical signals by specialized equipment, which are then transmitted to data center servers. Semiconductors within the servers then exchange electrical signals to process computations and memory. With the proliferation of AI and the need to process massive amounts of data, the demand for optical technology is anticipated to increase.

The integration of silicon photonics still presents numerous challenges, primarily concerning interface communication protocols. Consequently, synchronization in communication among semiconductor manufacturers is essential for the realization of silicon photonics technology.

Therefore, NTT aims to coordinate necessary technologies through collaboration with Intel and SK Hynix.

NTT holds a global leadership position in integrating optical and electronic technologies, having successfully pioneered the foundational technology of using light for transistor circuits. This achievement was published in the British scientific journal “Nature Photonics” in 2019, leading to the introduction of the IOWN (Innovative Optical and Wireless Network) fully optical network based on this technology.

(Photo credit: Intel)

Please note that this article cites information from Nikkei and TechNews.

  • Page 24
  • 39 page(s)
  • 195 result(s)

Get in touch with us