Naura


2024-08-12

[News] China Makes Progress in Chip Tool Self-Sufficiency, Yet Lithography Remains a Key Bottleneck

According to a report from the South China Morning Post, the U.S. export controls, which are restricting China’s access to advanced chips and technology, have intensified China’s efforts to replace global semiconductor manufacturing equipment. However, industry sources have indicated that China still faces significant bottlenecks in this area.

The report mentions that Chinese semiconductor equipment companies like NAURA and AMEC are leading efforts to encourage local foundries to adopt domestic equipment.

Notably, sources cited in the same report also reveal that there is an unwritten rule among Chinese semiconductor fabs that locally-made tools should account for 70% of their production lines.

Per a report by TrendForce, Chinese manufacturers have achieved a self-sufficiency rate of 15% or higher in materials for mature processes, such as silicon wafers, photomasks, photoresists, electronic gases, and wet chemicals. However, items with a self-sufficiency rate still below 15% include photolithography equipment, photomasks, and EDA.

AMEC’s chairman and CEO, Gerald Yin Zhiyao, stated that China is expected to achieve a basic level of self-sufficiency in chip production equipment by this summer, something that was unimaginable just a few years ago.

He acknowledged that while there are still gaps in quality and reliability, China’s semiconductor supply chain can indeed achieve self-sufficiency. This, he suggested, is further evidence that U.S. export controls may have accelerated the development of China’s chip industry.

However, the report also pointed out that China remains constrained in one critical area: lithography technology, which is subject to the most stringent export controls.

Dutch company ASML is the sole supplier of Extreme Ultraviolet (EUV) systems, essential for producing advanced chips, and is also the main supplier of Deep Ultraviolet (DUV) systems needed for mature process chips.

President of foundry China Resources Microelectronics, Li Hong, stated that in 2023, only 1.2% of the lithography systems used by Chinese foundries was purchased from local suppliers.

In the second quarter of this year, ASML’s shipments to Chinese customers totaled EUR 2.35 billion, accounting for nearly half of its global sales. This indicates that China continues to rely heavily on ASML’s equipment in the legacy nodes, which is not subject to U.S. sanctions.

Paul Triolo, senior vice-president for China and technology policy lead at the U.S. consulting firm Albright Stonebridge Group, noted that the significant purchases of DUV lithography systems from ASML by Chinese companies highlight that SMEE, a major Chinese lithography equipment manufacturer, still lags behind ASML in reliably producing lithography systems for 28nm and below processes.

However, lithography technology is not the only bottleneck China faces. Li Hong also noted that the local supply ratios for ion implantation and inspection and metrology systems is only 1.4% and 2.4%, respectively.

As per Chinese customs data, the value of ion implantation systems imported by China in 2023 increased by 20% year-on-year to USD 1.3 billion.

A research report by Guohai Securities indicates as well that Chinese fabs rely heavily on metrology systems from companies like KLA, Applied Materials, and Japan’s Hitachi.

KLA reportedly holds a 50% global market share in inspection and metrology equipment.

An industry source cited in the report mentioned that the local supply ratio in the inspection and metrology sector is relatively low, with local substitution primarily occurring in lower-end products.

Read more

(Photo credit: ASML)

Please note that this article cites information from South China Morning Post.

2023-10-20

[News] Chinese Chip Equipment Rises Amid U.S. Restrictions

Amid increased U.S. restrictions on China’s semiconductor industry, Chinese chip equipment manufacturers are witnessing a notable uptick in domestic orders. Over the first eight months of this year, Chinese chip equipment managed to capture nearly half of all orders. This serves as a compelling sign that the fears expressed by companies such as NVIDIA, AMD, and Intel about losing ground to domestic rivals in the Chinese market are materializing.

On October 17th, the Biden administration tightened chip export rules, barring American companies, including NVIDIA, from selling AI chips to China. At the same time, the U.S. Commerce Department’s Bureau of Industry and Security (BIS) placed 13 Chinese GPU firms on its Entity List, further unsettling global semiconductor and AI supply chains. Ironically, these moves could expedite China’s domestic AI chip industry’s advancement amid the pressure.

Huatai Securities’ analysis reveals that Chinese chip foundries have been winning an increasing number of bids for machinery equipment this year. In the first eight months of this year, they secured 47.25% of these bids, with the percentage soaring to 62% in August. In comparison, during March and April, the rate was only 36.3%. This trend reflects a turning point for China’s chip equipment industry and showcases its rapid transition towards self-sufficiency.

As per Reuters, insiders disclosed that prior to the U.S. export bans, China’s advanced chip foundries rarely utilized domestic equipment, reserving it for expanding production. Yet, in reaction to the ongoing restrictions, they’ve proactively started testing homegrown equipment on all foreign devices and plan to fully replace foreign gear with domestic alternatives. This transition has greatly boosted local firms such as AMEC and NAURA.

Analysts observe that China’s local equipment makers have notably enhanced their production capacity, especially in wet etching and cleaning, positioning them for global competition with U.S. counterparts. What’s more, the quality of Chinese-made equipment has surpassed expectations, often advancing by up to two years. The substantial revenue growth in the sector attests to China’s remarkable progress in the semiconductor equipment industry.

Nonetheless, photolithography equipment remains a field where China’s domestic equipment struggles to break through due to its demanding requirements for optical and process precision. China has faced challenges in procuring extreme ultraviolet (EUV) lithography machines crucial for manufacturing cutting-edge chips. The situation is further complicated by the joint efforts of the United States, the Netherlands, Japan, and other allies to restrict the export of advanced deep ultraviolet (DUV) lithography machines to China.
(Image: AMEC)

2023-04-25

China’s Semiconductor Equipment booms: A New Gold Rush?

From the Entity List in 2020 to the Chips and Science Act of 2022, the US government has been tightening its grip on China’s semiconductor industry by blocking the export of advanced semiconductor manufacturing equipment. The pressing question on everyone’s mind is: Will China’s semiconductor industry crumble under this pressure?

The answer, based on recent market reactions, is a resounding no.

Riding the Waves through Headwinds

Despite international semiconductor equipment manufacturers facing production cutbacks, China’s semiconductor equipment industry is thriving. In the first quarter, Naura, the leading semiconductor equipment manufacturer, reported a whopping 68.56%-87.29% increase in revenue, with a 171.24% to 200.3% increase in net profit. This has spurred growth across the entire Chinese A-share market for semiconductor equipment concept stocks such as Piotech, PNC process System, Advanced Micro, ACM Research and Hwatsing Technology.

This growth highlights a great leap forward in semiconductor process technology. Despite the adverse effects of the US’s broad-based restrictions, they have nonetheless created a favorable environment for testing and substitution opportunities. This, in turn, has enabled Chinese manufacturers of semiconductor equipment to increase their market share in the area of established semiconductor processes.

Full Speed Ahead: Aiming High for 5nm

In key semiconductor manufacturing processes such as thin film deposition, etching, ion implantation, CMP, and cleaning, Chinese manufacturers have already moved beyond traditional equipment development cycles and are progressing towards advanced process technology at full speed.

According to TrendForce, Chinese semiconductor equipment companies such as Naura and Advanced Micro(AMEC) are capable of supporting 28/14 nm in some process steps, and have even tentatively established their presence in 5 nm process technology.

Our summary identifies the main players to watch in thin film deposition, etching, and EUV:

  • Thin film deposition: Naura

Naura has achieved full coverage of PVD, CVD, and ALD product lines, with product lines matching international leaders such as Applied Materials, Lam, and Tokyo Electron. Naura has unique competitive advantages in the PVD field, with over 20% of its PVD equipment being supplied to Chinese 12-inch production lines such as YMTC(Yangtze Memory Technologies Co., Ltd), making it the second-largest PVD equipment supplier after Applied Materials.

Additionally, since 2012, Naura has sold over 200 PVD equipment, gradually achieving their goals for equipment substitution.

  • Etching: AMEC and Naura

As the leading CCP etching machine, AMEC has successfully penetrated TSMC’s 5nm production line, becoming the first domestic etching equipment to break through in the advanced process area. AMEC has also achieved large-scale adoption in 64-layer, 128-layer 3D NAND process, and 1x DRAM process. These main product portfolios contributed to the company’s 47.3% YoY revenue growth rate in the first half of 2022. In addition, AMEC’s etching equipment also enjoys a high gross profit margin of 46.02%.

On the other hand, Naura is at the forefront of ICP silicon etching equipment. Its first-generation 12-inch etching equipment underwent certification for 90-65nm at the SMIC’s fab in Beijing in 2008. In addition, with the support of national research projects, Naura’s ICP etching machine has also broken through 14nm barriers and been adopted by mainstream foundries.

  • Photolithography: Shanghai MicroElectronics Equipment(SMEE)

Photolithography is a critical process that China is strategically including in their semiconductor industry plans. They’re aiming to develop 28nm immersion exposure machines and core components through collaborative efforts: SMEE will lead the overall design and integration, with five or more companies providing key components.

Although SMEE has preliminary DUV exposure machine technology, it’s limited to more mature processes on 8-inch and 12-inch wafers at 90nm, 110nm, and 280nm, leaving a significant gap with international leaders.

From Toddler to Major Player

Although China’s equipment manufacturers are still at their toddler stage, the increasing momentum suggests that they will continue to make significant progress. Assuming that China’s policy support towards the development of 14nm and below semiconductor processes remains unchanged in the coming years, it is highly likely that the country’s market will fundamentally experience a transformation.

At this point, China’s semiconductor industry will enter a new era of high-speed growth, paving the way for the country to become a major player at global level. As China’s domestic market grasps the technology and commercial logic along the way, it will potentially have more influence over the global supply chain, as a result triggering a shift in the worldwide semiconductor industry in the long run.

  • Page 1
  • 1 page(s)
  • 3 result(s)

Get in touch with us