SK Hynix


2024-08-23

[News] Samsung and SK hynix’s China Revenue Doubled in 1H24, Reportedly Driven by Semiconductor and HBM Demand

Earlier in July, ASML CEO Christophe Fouquet noted that though China’s progress on cutting-edge chips is ten years behind the U.S., the world is in need of the legacy chips it manufactured. Now it seems that in order to become “the world’s factory,” China has to turn itself into “the world’s market” first.

And it has already been doing so. Over 40% of major semiconductor equipment manufacturers’ revenue in the second quarter of 2024, including that of Applied Materials, ASML and Tokyo Electron, came from China. In addition, another report by Maeli Business Newspaper highlights that Samsung Electronics and SK hynix also saw their sales in China double in the first half of this year.

Samsung’s Revenue from China Doubled in 1H24, Mainly Boosted by Semiconductors

Citing comments from Analysts, the report attributes China’s strong demand for Korean semiconductors to the country’s aggressive economic stimulus measures and the surge in AI, coinciding with the semiconductor upturn.

Citing Samsung’s semi-annual report on the 22nd, the report notes that its sales in China soared to KRW 32.3452 trillion (around USD 24.2 billion) in the first half of 2024, doubling from KRW 17.808 trillion in the first half of last year. According to Samsung’s website, China accounted for 17% of its revenue in the second quarter of 2024, rising from 11% in 2Q23.

The sales figures for China reported by Samsung encompass not only its flagship semiconductor products but also others like smartphones and home appliances. However, it is worth noting that unlike the situation in the U.S. and Europe, where the revenue structure is more diversified, semiconductors are believed to constitute the majority of sales in China, the report suggests.

HBM May Be a Major Contributor of South Korean Memory Giants’ Soaring Revenue in China

The soaring revenue in China echoes with the rumor that the U.S. is reportedly mulling new measures to limit China’s access to AI memory, an arena South Korean memory giants excel at. A previous report by Reuters noted that as the restrictions might be imposed as early as late August, Chinese tech giants like Huawei and Baidu, along with other startups, are said to be stockpiling high bandwidth memory (HBM) semiconductors from Samsung Electronics.

Citing a source from the semiconductor industry, Maeli states that the rapid growth of HBM is driving a significant shift in China’s DRAM market. The surging demand, derived from the need for server and enterprise PC upgrades as well as the launch of new AI-equipped PCs, appears to have boosted sales in China, benefiting South Korean memory giants.

The current HBM market leader, SK hynix, currently operates a DRAM plant in Wuxi, a packaging facility in Chongqing, and a NAND plant acquired from Intel in Dalian. Its sales in China in 1H24, according to the report, is estimated to amount to KRW 8.6061 trillion (around USD 6.4 billion), more than doubling its sales from the same period last year (KRW 3.8821 trillion).

The report, citing SK hynix’s semi-annual report, notes that the sales and net profit of SK hynix Semiconductor China in 1H24 were KRW 2.6624 trillion and KRW 119.4 billion, respectively. In the same period last year, it reported a loss of KRW 165.6 billion.

Read more

(Photo credit: Samsung)

Please note that this article cites information from Maeli Business Newspaper and Reuters.
2024-08-19

[News] Samsung Reportedly to Tape out HBM4 with 1c DRAM by Year-end

After forming a new HBM development team within its Device Solutions (DS) Division around July, memory Giant Samsung is now said to have made progress on HBM4, targeting to tape-out the product by the end of this year, a report by TheElec notes. The move is also regarded to be laying the foundation stone for the mass production of its 12-layer HBM4 product by the end of 2025, according to the report.

The report suggests that as the time span between tape-out and finalizing test products might take three to fourth months, Samsung’s HBM4 test products are expected to be released next year at the earliest. Afterwards, Samsung would continue to make improvements until sending samples to key customers.

Samsung, however, declined to comment on its roadmap, according to TheElec.

The report by TheElec further notes that starting from HBM4, Samsung plans to mass-produce the logic die of HBM on its 4nm foundry process. Regarding the memory chip, Samsung is said to adopt the 10nm 6th-generation (1c) DRAM.

Samsung’s major HBM competitor, SK hynix, is reported to enter mass production for its 12-layer HBM4 in the second half of 2025, the report indicates. The company plans to mass-produce the logic die of HBM with TSMC’s 5nm and 12nm processes. As for the memory chip, it is still weighing between 1b DRAM and 1c DRAM.

As Samsung plans to use 1c DRAM in HBM4 core chips, related investments are expected to follow. TrendForce reports that Samsung’s P4L facility will be the key site for expanding memory capacity starting in 2025, starting with NAND production. Equipment installation for DRAM is expected to begin in mid-2025, with mass production of 1c nanometer DRAM slated to commence in 2026.

Samsung’s fifth generation HBM, HBM3e, is still striving on the certification process with NVIDIA. TrendForce notes that as the company is eager to gain higher HBM market share from SK hynix, its 1alpha(1α) capacity has reserved for HBM3e. TrendForce believes that Samsung is going to be a very important supplier on HBM category.

Read more

(Photo credit: Samsung)

Please note that this article cites information from TheElec.
2024-08-19

[News] Samsung Reportedly Bets on CXL Memory in the AI Race

According to a report from Nikkei, Samsung Electronics, currently lagging behind SK hynix in the HBM market, is said to be betting on the next-generation CXL memory, with shipments expected to begin in the second half of this year, while anticipating the CXL memory to become the next rising star in AI.

CXL is a cache-coherent interconnect for memory expansion, which may maintain memory coherency between the CPU memory space and memory on attached devices, which allows resource sharing for higher performance.

The CXL module stacks DRAM layers and connects different semiconductor devices like GPUs and CPUs, expanding server memory capacity up to tenfold.

Choi Jang-seok, head of Samsung Electronics’ memory division, explained that CXL technology is comparable to merging wide roads, enabling the efficient transfer of large volumes of data.

As tech companies rush to develop AI models, existing data centers are gradually becoming unable to handle the enormous data processing demands.

As a result, companies are beginning to build larger-scale data centers, but this also significantly increases power consumption. On average, the energy required for a general AI to answer user queries is about ten times that of a traditional Google search.

Choi further highlighted that incorporating CXL technology allows for server expansion without the need for physical growth.

In 2021, Samsung became one of the first companies in the world to invest in the development of CXL. This June, Samsung announced that its CXL infrastructure had received certification from Red Hat.

Additionally, Samsung is a member of the CXL Consortium, which is composed of 15 tech companies, with Samsung being the only memory manufacturer among them. This positions Samsung to potentially gain an advantage in the CXL market.

While HBM remains the mainstream memory used in AI chipsets today, Choi Jang-seok anticipates that the CXL market will take off starting in 2027.

Since the surge in demand for NVIDIA’s AI chips, the HBM market has rapidly expanded. SK hynix, which was the first to develop HBM in 2013, has since secured the majority of NVIDIA’s orders, while Samsung has lagged in HBM technology.

Seeing Samsung’s bet on CXL, SK Group Chairman Chey Tae-won remarked that SK Hynix should not settle for the status quo and immediately start seriously considering the next generation of profit models.

Read more

(Photo credit: Samsung)

Please note that this article cites information from Nikkei.
2024-08-19

[News] Samsung Reportedly to Bring in High-NA EUV Machine as soon as Year-End, as SK hynix Targets 2026

As semiconductor giants, starting with Intel and TSMC, have been bringing in ASML’s High-NA EUV (high-numerical aperture extreme ultraviolet) equipment to accelerate the development in advanced nodes, the elite group has now reportedly been added two new members: Samsung and SK hynix.

According to the reports by Korean media outlet Sedaily and ZDNet, Samsung Electronics’ semiconductor (DS) division is said to bring in High-NA EUV equipment as early as the end of 2024. SK hynix’s High-NA equipment, which is expected to be applied to the mass production of advanced DRAM, will reportedly be introduced in 2026.

Samsung to Introduce First High-NA EUV Machine as soon as Year-End, Eyeing Full Commercialization by 2027

Sedaily, citing industry sources on August 13th, notes that Samsung is expected to begin bringing in its first High-NA EUV equipment, ASML’s EXE:5000, between the end of this year and the first quarter of next year. It is worth noting that Samsung’s first High-NA EUV equipment is likely to be used for foundry operations, the report reveals.

Among the semiconductor heavyweights which have been advancing in the foundry business, Intel is the first to order new High-NA EUV machines from ASML. In May, Intel was said to have secured its first batch of the new High-NA EUV lithography equipment from ASML, which the company will allegedly use on its 18A (1.8nm) and 14A (1.4nm) nodes.

TSMC, on the other hand, is more concerned on the new machine’s expensiveness, as it might be priced at as much as EUR 350 million (roughly USD 380 million) per unit, according to a previous report by Bloomberg. However, the report, citing ASML’s spokesperson, confirmed that the Dutch chip equipment giant will ship High-NA EUV equipment to TSMC by the end of this year.

Now, following its two major rivals in the foundry sector, Samsung, by introducing High-NA EUV equipment as soon as year-end, aims to boost its competitive edge in the advanced nodes.

As the installation process is quite time-consuming, Samsung aims for the full commercialization of High-NA by 2027, supported by its efforts to build the related ecosystem, the report says.

According to the report, Samsung is working with electronic design automation (EDA) companies to design new types of masks, including curved (curvilinear) circuits for High-NA EUV that improve the sharpness of the printed circuits on wafers. This collaboration includes companies like Synopsys, a global leader in semiconductor EDA tools.

SK hynix’s High-NA EUV Reportedly to be Applied to 0a DRAM Production

According to the report by Sedaily, ASML has produced eight EXE:5000 High-NA EUV units currently, as Intel has the lion’s share by securing multiple units. Samsung is said to be the last customer to place the order for ASML’s first batch of units.

On the other hand, SK hynix, Samsung’s major rival in the memory sector, is reported to bring in ASML’s next generation of High-NA EUV machine, the EXE:5200, in 2026, ZDNet suggests.

Citing industry sources on August 16th, ZDNet notes that the HBM giant has been expanding the personnel dedicated to High-NA EUV development within the company.

Although specific plans, such as the fab where the equipment will be installed or the direction of additional investment, have not been disclosed, it is expected that the technology could be applied to mass production in 0a (single-digit nanometer) DRAM as early as possible, the report indicates.

Read more

(Photo credit: ASML)

Please note that this article cites information from SedailyZDNet and Bloomberg.
2024-08-16

[News] Booming AI Demand Boosts Q2 Profit for South Korea’s Top 500 Companies to Double

As global tech giants race to develop AI infrastructure, according to a report from Yonhap News Agency, South Korea’s top 500 companies, driven by semiconductor leaders like Samsung and SK Hynix, have experienced a significant profit surge in the second quarter, more than doubling compared to the same period last year.

Reportedly, as per data released by the corporate evaluation website CEO Score on August 15th, among South Korea’s top 500 companies by revenue, 334 companies have reported their second-quarter earnings as of August 14th.

The combined net profit of these companies reached KRW 59.4 trillion (approximately USD 43.6 billion), marking a 107.1% increase compared to the KRW 28.7 trillion recorded during the same period last year, with their profits more than doubling year-over-year.

Their revenue in total, on the other hand, amounted to KRW 779.5 trillion, reflecting a 7% year-on-year growth from KRW 728.6 trillion during the same period last year.

This significant growth was driven by the booming HBM demand from tech giants like NVIDIA, the report notes.

According to the Q2 performance report released by Samsung Electronics, the company’s operating profit reached KRW 10.44 trillion (approximately USD 7.5 billion), surging from the KRW 668.5 billion recorded in the same period last year.

Thus, per the report, this surge has solidified Samsung’s position as the most profitable company among South Korea’s top 500 enterprises in the second quarter.

On the other hand, SK Hynix also turned a profit in the second quarter, recovering from a loss of KRW 2.9 trillion  in the same period last year, with an operating profit of KRW 5.5 trillion.

Reportedly, this strong performance helped SK Hynix to become South Korea’s second most profitable company, surpassing automotive giants Hyundai Motor and Kia Corp., which reported operating profits of KRW 4.3 trillion and KRW3.6 trillion in Q2,  respectively.

Meanwhile, SK On, the battery manufacturing arm of SK Group, recorded an operating loss of KRW 460.2 billion in the second quarter, marking the worst quarterly performance in the company’s history, dragged down by the global cooling demand for electric vehicles.

Read more

(Photo credit: Samsung)

Please note that this article cites information from Yonhap News Agency.
  • Page 5
  • 33 page(s)
  • 163 result(s)

Get in touch with us